• Search Research Projects
  • Search Researchers
  • How to Use
  1. Back to previous page

Sekine Makoto  関根 誠

ORCIDConnect your ORCID iD *help
… Alternative Names

SEKINE Makoto  関根 誠

Less
Researcher Number 80437087
Other IDs
Affiliation (Current) 2025: 名古屋大学, 低温プラズマ科学研究センター, 特任教授
Affiliation (based on the past Project Information) *help 2021 – 2023: 名古屋大学, 低温プラズマ科学研究センター, 特任教授
2016: 名古屋大学, 大学院工学研究科, 特任教授
2013 – 2015: 名古屋大学, 工学(系)研究科(研究院), 教授
2010: 名古屋大学, 工学研究科付属プラズマナノ工学研究センター, 特任教授
Review Section/Research Field
Principal Investigator
Basic Section 14030:Applied plasma science-related / Plasma electronics
Except Principal Investigator
Science and Engineering / Business administration
Keywords
Principal Investigator
プラズマエッチング / 電子衝突解離 / 電子衝突反応 / エッチング / プラズマ / コインシデンス分光 / シリコン酸化膜 / ハイドロフロオロカーボン / プラズマビーム / レジスト / 凹凸 / プラズマ加工 / プラズマ化学 … More
Except Principal Investigator
… More バイオ / カーボンナノウォール / アポトーシス / がん細胞 / 医療 / 大気圧プラズマ / がん治療 / プラズマ活性溶液 / メラノーマ / システムバイオロジー / 液中プラズマ / ラジカル / プラズマ / 原子間力顕微鏡 / シュウ酸カルシウム / 活性酸素種 / 人工脂質二重膜 / 創傷治癒 / 抗腫瘍効果 / プラズマ活性点滴 / プラズマ活性培養液 / プラズマ医療 / コンソーシアム / 自動車電子化 / 半導体素子 / 利益相反 / 評価技術 / 産業間共生 / ハイパフォーマー / 半導体産業 / 自動車産業 / 他分野の知見 / アナロジ / オープン化 / アーキテクチャ / 技術伝播 Less
  • Research Projects

    (4 results)
  • Research Products

    (212 results)
  • Co-Researchers

    (21 People)
  •  Innovation in atomically controlled engineering of plasma etching technology with builiding a collaborative environment for theory, computation, and measurementPrincipal Investigator

    • Principal Investigator
      Sekine Makoto
    • Project Period (FY)
      2021 – 2023
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Review Section
      Basic Section 14030:Applied plasma science-related
    • Research Institution
      Nagoya University
  •  Plasma science for nano-scale fabrication of fragile materialsPrincipal Investigator

    • Principal Investigator
      Sekine Makoto
    • Project Period (FY)
      2013 – 2015
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Research Field
      Plasma electronics
    • Research Institution
      Nagoya University
  •  Diagnostic analyses of dynamical interaction of plasma and surface in plasma medicine

    • Principal Investigator
      HORI Masaru
    • Project Period (FY)
      2012 – 2016
    • Research Category
      Grant-in-Aid for Scientific Research on Innovative Areas (Research in a proposed research area)
    • Review Section
      Science and Engineering
    • Research Institution
      Nagoya University
  •  Collaborative development of automotive semiconductor technologies for promoting the car electronics

    • Principal Investigator
      FUJIMURA Shuzo
    • Project Period (FY)
      2008 – 2010
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Research Field
      Business administration
    • Research Institution
      Tokyo Institute of Technology

All 2024 2023 2022 2021 2017 2016 2015 2014 2013 2009 Other

All Journal Article Presentation

  • [Journal Article] <i>In situ</i> atom-resolved observation of Si (111) 7×7 surface with F radical and Ar ion irradiation simulated atomic layer etching2024

    • Author(s)
      Tsutsumi Takayoshi、Asano Atsuki、Kondo Hiroki、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • Journal Title

      Journal of Vacuum Science &amp; Technology A

      Volume: 42 Issue: 3 Pages: 032603-032603

    • DOI

      10.1116/6.0003432

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Dissociative properties of C<sub>4</sub>F<sub>6</sub> obtained using computational chemistry2024

    • Author(s)
      Hayashi Toshio、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 63 Issue: 4 Pages: 04SP26-04SP26

    • DOI

      10.35848/1347-4065/ad3166

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF62023

    • Author(s)
      Yoshie Taito、Ishikawa Kenji、Nguyen Thi-Thuy-Nga、Hsiao Shih-Nan、Tsutsumi Takayoshi、Sekine Makoto、Hori Masaru
    • Journal Title

      Applied Surface Science

      Volume: 638 Pages: 157981-157981

    • DOI

      10.1016/j.apsusc.2023.157981

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-23K03367, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases2023

    • Author(s)
      Hsiao Shih-Nan、Sekine Makoto、Ishikawa Kenji、Iijima Yuki、Ohya Yoshinobu、Hori Masaru
    • Journal Title

      Applied Physics Letters

      Volume: 123 Issue: 21 Pages: 1-4

    • DOI

      10.1063/5.0173553

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substrate temperature with a CF4/H2 plasma2023

    • Author(s)
      Hsiao Shih-Nan、Britun Nikolay、Nguyen Thi-Thuy-Nga、Tsutsumi Takayoshi、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • Journal Title

      Vacuum

      Volume: 210 Pages: 111863-111863

    • DOI

      10.1016/j.vacuum.2023.111863

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] Study of optical emission spectroscopy using modified Boltzmann plot in dual-frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O2/C4F8 plasma etching process2022

    • Author(s)
      Sahu Bibhuti Bhusan、Nakane Kazuya、Ishikawa Kenji、Sekine Makoto、Tsutsumi Takayoshi、Gohira Taku、Ohya Yoshinobu、Ohno Noriyasu、Hori Masaru
    • Journal Title

      Physical Chemistry Chemical Physics

      Volume: 24 Issue: 22 Pages: 13883-13896

    • DOI

      10.1039/d2cp00289b

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis2022

    • Author(s)
      Kurokawa Jumpei、Kondo Hiroki、Tsutsumi Takayoshi、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • Journal Title

      Vacuum

      Volume: 205 Pages: 111351-111351

    • DOI

      10.1016/j.vacuum.2022.111351

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073, KAKENHI-PROJECT-20K14453
  • [Journal Article] Dissociation channels of c-C4F8 to C2F4 in reactive plasma2022

    • Author(s)
      Hayashi Toshio、Ishikawa Kenji、Iwayama Hiroshi、Sekine Makoto、Hori Masaru
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 61 Issue: 10 Pages: 106006-106006

    • DOI

      10.35848/1347-4065/ac895e

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス2021

    • Author(s)
      堤隆嘉,石川健治,近藤博基,関根誠,堀勝
    • Journal Title

      プラズマ核融合学会誌

      Volume: 97 Pages: 517-521

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] On the Etching Mechanism of Highly Hydrogenated SiN Films by CF4/D2 Plasma: Comparison with CF4/H22021

    • Author(s)
      Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • Journal Title

      Coatings

      Volume: 11 Issue: 12 Pages: 1535-1535

    • DOI

      10.3390/coatings11121535

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Ar/SF6 plasma simulation for dual-frequency capacitively coupled plasma incorporating gas flow simulation and secondary electron emission2021

    • Author(s)
      Shigeyuki Takagi, Suguru Kawamura, Makoto Sekine
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 61 Issue: SA Pages: SA1009-SA1009

    • DOI

      10.35848/1347-4065/ac1eab

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF4/H2 plasma at different substrate temperatures2021

    • Author(s)
      Shih‐Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • Journal Title

      Plasma Processes and Polymers

      Volume: 18 Issue: 11 Pages: 2100078-2100078

    • DOI

      10.1002/ppap.202100078

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073, KAKENHI-PROJECT-20K14453
  • [Journal Article] Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet2017

    • Author(s)
      Keigo Takeda Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka3  Makoto Sekine  Masaru Hori
    • Journal Title

      Applied Physics Express

      Volume: 10 Issue: 3 Pages: 036201-036201

    • DOI

      10.7567/apex.10.036201

    • NAID

      210000135797

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [Journal Article] Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium2016

    • Author(s)
      Kurake N, Tanaka H, Ishikawa K, Kondo T, Sekine M, Nakamura K, Kajiyama Hi, Kikkaw F, Mizun M, Hori M
    • Journal Title

      Arch Biochem Biophys

      Volume: 未定 Pages: 102-108

    • DOI

      10.1016/j.abb.2016.01.011

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PUBLICLY-15H00892, KAKENHI-PUBLICLY-15H00900, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PLANNED-24108008, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [Journal Article] Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures2015

    • Author(s)
      Zecheng Liu, Jialin Pan, Takashi Kako Kenji Ishikawa, Osamu Oda, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Journal Title

      Japan. J. Appl. Phys.

      Volume: 54 Issue: 6S2 Pages: 06GB04-06GB04

    • DOI

      10.7567/jjap.54.06gb04

    • NAID

      210000145318

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions2015

    • Author(s)
      Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Journal Title

      J. Phys. D: Appl. Phys.

      Volume: 48 Issue: 4 Pages: 045202-045202

    • DOI

      10.1088/0022-3727/48/4/045202

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis2015

    • Author(s)
      T. Tsutsumi, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori
    • Journal Title

      Japanese Journal of Applied Physices

      Volume: 54 Issue: 1S Pages: 01AB04-01AB04

    • DOI

      10.7567/jjap.55.01ab04

    • NAID

      210000145944

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-15H02032, KAKENHI-PROJECT-25286080
  • [Journal Article] Electronic properties of HBr, O2 and Cl2 used in Si etching2015

    • Author(s)
      Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Journal Title

      Japan. J. Appl. Phys.

      Volume: 54 Issue: 6S2 Pages: 06GA03-06GA03

    • DOI

      10.7567/jjap.54.06ga03

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching2015

    • Author(s)
      Takayoshi Tsutsumi, Yusuke Fukunaga, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori
    • Journal Title

      IEEE Trans Semiconductor manufacturing

      Volume: 28 Issue: 4 Pages: 515-520

    • DOI

      10.1109/tsm.2015.2470554

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase2015

    • Author(s)
      Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Journal Title

      Japan. J. Appl. Phys.

      Volume: 54 Issue: 4 Pages: 040301-040301

    • DOI

      10.7567/jjap.54.040301

    • NAID

      210000144897

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton2015

    • Author(s)
      Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Journal Title

      Japan. J. Appl. Phys.

      Volume: 54 Issue: 4 Pages: 040303-040303

    • DOI

      10.7567/jjap.54.040303

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution2014

    • Author(s)
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • Journal Title

      Jpn. J. Appl. Phys.

      Volume: 53 Issue: 4 Pages: 040305-040305

    • DOI

      10.7567/jjap.53.040305

    • NAID

      210000143507

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [Journal Article] Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50°C2014

    • Author(s)
      Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Journal Title

      Jpn. J. Appl. Phys.

      Volume: 53 Issue: 1 Pages: 010305-010305

    • DOI

      10.7567/jjap.53.010305

    • NAID

      210000143231

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O2 non-equilibrium atmospheric pressure plasma jet2014

    • Author(s)
      Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • Journal Title

      Plasma Source Sci. Technol

      Volume: 23 Issue: 2 Pages: 025004-025004

    • DOI

      10.1088/0963-0252/23/2/025004

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls2014

    • Author(s)
      Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • Journal Title

      Jpn. J. Appl. Phys.

      Volume: 53 Issue: 4 Pages: 040307-040307

    • DOI

      10.7567/jjap.53.040307

    • NAID

      210000143509

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [Journal Article] Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls2014

    • Author(s)
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • Journal Title

      Appl. Phys. Express

      Volume: 7 Issue: 4 Pages: 046201-046201

    • DOI

      10.7567/apex.7.046201

    • NAID

      210000137068

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [Journal Article] Carbon nanowall scaffold to control culturing of cervical cancer cells2014

    • Author(s)
      Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Mineo Hiramatsu, Makoto Sekine, Yoshinobu Baba, Masaru Hori
    • Journal Title

      Appl. Phys. Lett.

      Volume: 105 Issue: 24

    • DOI

      10.1063/1.4902054

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment2013

    • Author(s)
      Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, and Masaru Hori
    • Journal Title

      J. Appl. Phys.

      Volume: 103 Issue: 1 Pages: 14306-14306

    • DOI

      10.1063/1.4772996

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Effect of gas flow on transport of O (3Pj) atoms produced in ac power excited non-equilibrium atmospheric-pressure O2/Ar plasma jet2013

    • Author(s)
      K. Takeda, M. Kato, F. Jia, K. Ishikawa, H. Kano, M. Sekine, and M. Hori
    • Journal Title

      J. Phys. D: Appl. Phys.

      Volume: 46 Issue: 46 Pages: 464006-464006

    • DOI

      10.1088/0022-3727/46/46/464006

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-21110006, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002
  • [Journal Article] A novel fast and flexible technique of radical kinetic behaviour investigation based on pallet for plasma evaluation structure and numerical analysis2013

    • Author(s)
      Arkadiusz Malinowski, Takuya Takeuchi, Shang Chen, Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Lidia Lukasiak, and Andrzej Jakubowski
    • Journal Title

      J. Phys. D: Appl. Phys.

      Volume: 46 Issue: 26 Pages: 265201-265201

    • DOI

      10.1088/0022-3727/46/26/265201

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-25286080
  • [Journal Article] Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability2013

    • Author(s)
      H. Watanabe, H. Kondo, M. Hiramatsu, M. Sekine, S. Kumar, K. Ostrikov, M. Hori
    • Journal Title

      Plasma Process. Polym.

      Volume: 10 Issue: 7 Pages: 582-592

    • DOI

      10.1002/ppap.201200141

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [Journal Article] Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls2013

    • Author(s)
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • Journal Title

      Appl. Phys. Express

      Volume: 6 Issue: 9 Pages: 095201-095201

    • DOI

      10.7567/apex.6.095201

    • NAID

      10031199873

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [Journal Article] Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma2013

    • Author(s)
      Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori
    • Journal Title

      J. Phys. D: Appl. Phys.

      Volume: 46 Issue: 10 Pages: 102001-102001

    • DOI

      10.1088/0022-3727/46/10/102001

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls2013

    • Author(s)
      Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • Journal Title

      Appl. Phys. Express

      Volume: 6 Issue: 4 Pages: 045103-045103

    • DOI

      10.7567/apex.6.045103

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry2013

    • Author(s)
      Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito
    • Journal Title

      Appl. Phys. Lett.

      Volume: 103 Issue: 18

    • DOI

      10.1063/1.4827426

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties2013

    • Author(s)
      Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • Journal Title

      Carbon

      Volume: 68 Pages: 380-388

    • DOI

      10.1016/j.carbon.2013.11.014

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [Journal Article] Investigations on Plasma-Biomolecules Interactions as Fundamental Process for Plasma Medicine2013

    • Author(s)
      Kosuke Takenaka, Ken Cho, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori
    • Journal Title

      Journal of Physics: Conference Series

      Volume: 441 Pages: 012001-012001

    • DOI

      10.1088/1742-6596/441/1/012001

    • Peer Reviewed
    • Data Source
      KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002
  • [Presentation] Determination of ground state atomic concentrations during etching process2024

    • Author(s)
      Michael K. T. Mo, S.-N. Hsiao, M. Sekine, M. Hori, and N. Britun
    • Organizer
      ISPlasma2024/IC-PLANTS2024/APSPT-13, 2024/3/3-3/7, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Cryogenic Atomic Layer Etching of Silicon Nitride Alternating Surface Modification with HF Purge and Ar Plasma2024

    • Author(s)
      Shih-Nan Hsiao, Makoto Sekine, Yuki Iijima and Masaru Hori
    • Organizer
      ISPlasma2024/IC-PLANTS2024/APSPT-13, 2024/3/3-3/7, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Selective Etching of SiO2 and SiN over Polycrystalline Si Using PF3/H2 Plasmas2024

    • Author(s)
      Chih-Yu Ma, Shih-Nan Hsiao, Michael K. T. Mo, Nikolay Britun, Makoto Sekine and Masaru Hori
    • Organizer
      ISPlasma2024/IC-PLANTS2024/APSPT-13, 2024/3/3-3/7, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Atomic layer etching of SiN films with CF4/H2 surface modification and H2/N2 plasma exposure2023

    • Author(s)
      Shih-Nan Hsiao, Makoto Sekine1 and Masaru Hori
    • Organizer
      International Conference on Phenomena in Ionized Gases ICPIG XXXV , 2023/7/10-14, the Netherland
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Unravelling Dissociation of Hydrofluorocarbon Molecules through Photoelectron-Photoion Coincidence (PEPICO) Studies2023

    • Author(s)
      Tran Trung Nguyen, Kenji Ishikawa, Toshio Hayashi, Hiroshi Iwayama, Shih-Nan Hsiao, Makoto Sekine, and Masaru Hori
    • Organizer
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023), 2023/11/5-8, Busan, Korea
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Transient behavior of cycle process in Ar plasma with alternately injected C4F8 and SF62023

    • Author(s)
      Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • Organizer
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023), 2023/11/5-8, Busan, Korea
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Self-limited fluorination of electron-beam-irradiated GaN surface2023

    • Author(s)
      Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] A comparative study on the CF4/H2 and HF/H2 plasmas for etching of highly hydrogenated SiN films2023

    • Author(s)
      Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      25th International Symposium on Plasma Chemistry (ISPC25), 2023/5/21-26, Kyoto, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Hydrofluorocarbon Molecule Dissociation through Photoeoctron-Photoion Coincidence (PEPICO) Studies2023

    • Author(s)
      Tran Trung Nguyen, Toshio Hayashi, Hiroshi Iwayama, Shih-Nan Hsiao, Makoto Sekine, Masaru Hori and Kenji Ishikawa,
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma-based pseudo-wet mechanism for cryogenic SiO2 etching using hydrogen-contained fluorocarbon gases with an in-situ surface analysis2023

    • Author(s)
      Shih-Nan Hsiao, Makoto Sekine, Takayoshi Tsutsumi, Kenji Ishikawa, Manabu Iwata, Maju Tomura, Yuki Iijima, Taku Gohira, Keiichi Matsushima, Yoshinobu Ohya, Masaru Hori
    • Organizer
      The 76th Annual Gaseous Electronics Conference (GEC76), 2023/10/9-13, Michigan, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Simultaneous measurements of F, O and H ground state atom density in an industry-grade etching plasma2023

    • Author(s)
      M. K. T. Mo, S.-N. Hsiao, M. Sekine, M. Hori, and N. Britun
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Etch selectivities of SiO2 and SiN against a-C films using CF4/H2 plasma at low temperature2023

    • Author(s)
      Y. Imai, S-N. Hsiao, M. Sekine, K. Ishikawa, T. Tsutsumi, M. Iwata, M. Tomura,Y. Iijima, K. Matsushima and M. Hori
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Study on plasma process using adsorbed C7F14 as an etchant2023

    • Author(s)
      Kohei Masuda,Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao,Takayoshi Tsutsumi,Hiroki Kondo, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] On the mechanism of high-speed SiO2 etching using hydrogen fluoride-contained plasmas at cryogenic temperature2023

    • Author(s)
      Shih-Nan Hsiao, Makoto Sekine, Nikolay Britun, Michael Kin-Ting Mo, Yusuke Imai, Takayoshi Tsusumi, Kenji Ishikawa, Yuki Iijima, Masahiko Yokoi, Ryutaro Suda, Yoshihide Kihara and Masaru Hori
    • Organizer
      Global Plasma Forum in Aomori , 2023/10/15-18, Aomori, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Simultaneous measurements of F, O and H ground state atom density in an industry-grade etching plasma2023

    • Author(s)
      M. K. T. Mo, S.-N. Hsiao, M. Sekine, M. Hori, and N. Britun
    • Organizer
      Global Plasma Forum in Aomori , 2023/10/15-18, Aomori, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Etching silicon oxide, silicon nitride, and polysilicon films in CH2FCHF2 hydrofluorocarbon plasma2023

    • Author(s)
      Trung-Nguyen Tran,Thi-Thuy-Nga Nguyen,Kenji Ishikawa, Shih-Nan Hsiao,Toshio Hayashi,Makoto Sekine, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Dissociative properties of C4F6 obtained using computational chemistry2023

    • Author(s)
      T. Hayashi, K. Ishikawa, M. Sekine, and M. Hori
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] A pseudo-wet cryogenic plasma etching of SiO2 investigated with in-situ surface monitoring2023

    • Author(s)
      S-N Hsiao, M. Sekine, K. Ishikawa, T.Tsutsumi, and M. Hori Y Iijima, R. Suda, Y. Kihara
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Electron-Beam-Assisted Self-limiting fluorination of GaN surface using XeF2 for Atomic Layer Etching2023

    • Author(s)
      Y. Izumi, T. Tsutsumi, H Kondo, M. Sekine, M. Hori, and K. Ishikawa
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Characterization of plasmas and polymerized hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas2023

    • Author(s)
      Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Etching Selectivities of SiO2 and SiN Against a-C Films Using CF4/H2 with a Pseudo-Wet Plasma Etching Mechanism2023

    • Author(s)
      Yusuke Imai, S. Hsiao, M. Sekine, T. Tsutsumi, K. Ishikawa, M. Iwata, M. Tamura, Y. Iijima, T. Gohira, K. Matsushima, Y. Ohya, M. Hori,
    • Organizer
      AVS 69th International Symposium and Exhibition (AVS 69), 2023/11/5-10, Portland, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] In situ monitoring surface structure during hydrofluorocarbon assisted atomic layer etching of silicon nitride using CF4/H2 and H2 plasmas2023

    • Author(s)
      Shih-Nan Hsiao, Makoto Sekine and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Positive and negative ion behaviors in DC-imposed Ar/SF6 pulsed plasma2023

    • Author(s)
      Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, S-N. Hsaio, Makoto Sekine, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Control of etching profile by bias supply timing in cyclic process using C4F8/SF6 gas modulated plasma2023

    • Author(s)
      T. Yoshie, K. Ishikawa, T. Tsutsumi, M. Sekine, and M. Hori
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Global and local contribution analysis of process parameters in Plasma enhanced chemical vapor deposition of amorphous carbon har2023

    • Author(s)
      Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      The 4th International Conference on Data Driven Plasma Sciences ( ICDDPS-4 ), 2023/4/16-21, Okinawa, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Compositions of Ions Related with Electrode Materials in Pulsed Plasma for High-Aspect-Ratio Hole Etching2023

    • Author(s)
      K. Toji, T. Tsutsumi, S-N. Hsiao, M. Sekine, M. Hori, and K. Ishikawa
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] A mechanism for cryogenic etching of SiO2 using CF4/H2 and HF plasmas based on in-situ monitoring techniques2023

    • Author(s)
      Shih-Nan Hsiao, Yusuke Imai, Makoto Sekine, Nikolay Britun, Michael K. T. Mo, Yuki Iijima, Ryutaro Suda, Yoshinobu Ohya, Yoshihide Kihara, and Masaru Hori
    • Organizer
      36th International Microprocesses and Nanotechnology Conference (MNC 2023), 2023/11/14-17, Sapporo, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Contribution analysis of process parameters in plasma-enhanced chemical vapor deposition of amorphous carbon2023

    • Author(s)
      Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] A pseudo-wet plasma etching mechanism for SiO2 at cryogenic temperature using hydrogen fluoride gas with in-situ surface monitoring2023

    • Author(s)
      Shih-Nan Hsiao, Makoto Sekine, Yuki Iijima, Ryutaro Suda, Yoshinobu Ohya, Yoshihide Kihara, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori
    • Organizer
      AVS 69th International Symposium and Exhibition (AVS 69), 2023/11/5-10, Portland, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] C2F6/H2混合ガスを用いてラジカル注入型プラズマ励起化学気相堆積法により成長させたカーボンナノウォールのモフォロジー制御2022

    • Author(s)
      橋本 拓海、近藤 博基、石川 健治、堤 隆嘉、関根 誠、平松 美根男、堀 勝
    • Organizer
      The 39th Symposium on plasma processing / 34th Symposium on Plasma Science for Materials (SPP39/SPSM34)
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Langmuir probe and Laser Photodetachment Study of Afterglow Phase in Dual RF Frequency Pulsed Plasma Etching Processes Operated with Synchronized DC Bias2022

    • Author(s)
      Makoto Sekine, Bibhuti B Sahu, Shogo Hattori, Takayoshi Tsutsumi, Nikolay Britun, Kenji Ishikawa, Hirohiko Tanaka, Taku Gohira, Noriyasu Ohno, and Masaru Hori
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] C3H6/H2プラズマを用いた水素化アモルファスカーボン成膜における成膜前駆体と膜特性の相関関係2022

    • Author(s)
      黒川 純平、光成 正、近藤 博基、堤 隆嘉、関根 誠、石川 健治、堀 勝
    • Organizer
      第69回応用物理学会春季学術講演会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Study of etching process using CHF3 gas condensed layer in cryogenic region2022

    • Author(s)
      Kuangda Sun, Chieh-Ju Liao, Shih-Nan Hsiao, Makoto Sekine, Toshiyuki Sasaki, Chihiro Abe, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    • Organizer
      1st International Workshop on Plasma Cryogenic Etching Processes (PlaCEP2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Ion Induced Damage in Plasma Enhanced Atomic Layer Etching Processing2022

    • Author(s)
      Takayoshi TSUTSUMI, Hiroki KONDO, Kenji ISHIKAWA, Makoto SEKINE, Masaru HORI
    • Organizer
      32nd Anuual Meeting on Material Researh Society of Japan (MRS-J)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] C4F8/SF6ガス変調サイクルにおいてバイアス印加位相がエッチング形状に及ぼす影響2022

    • Author(s)
      吉江 泰斗、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝
    • Organizer
      第69回応用物理学会春季学術講演会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] 窒化ガリウムの基板昇温時サイクルエッチング特性2022

    • Author(s)
      南 吏玖、中村 昭平、谷出 敦、石川 健治、堤 隆嘉、近藤 博基、関根 誠、堀 勝
    • Organizer
      第69回応用物理学会春季学術講演会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Time-resolved measurement of ion energy distribution in pulsed Ar/SF6 plasma2022

    • Author(s)
      Kazuki TOJI, Kenji ISHIKAWA, Takayoshi TSUTSUMI, Shih nan HSIAO, Makoto SEKINE, Masaru HORI
    • Organizer
      32nd Anuual Meeting on Material Researh Society of Japan (MRS-J)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Nanoscale Dry Processes for Controlling Atomic Layer Reactions and Fabrication of High-Aspect-Ratio Features2022

    • Author(s)
      Kenji Ishikawa, Thi-Thuy-Nga Nguye, Takayoshi Tsutsumi, S-N Hsaio, Makoto Sekine, and Masaru Hori
    • Organizer
      Korean International Semiconductor Conference on Manufacturing Technology 2022 (KISM 2022)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Deposition mechanism of hydrogenated amorphous carbon film by C3H6/H2 mixture gas plasma2022

    • Author(s)
      Hiroki Kondo, Jumpei Kurokawa, Takayoshi Tsutsumi, Makoto Sekine, Kenji Ishikawa, and Masaru Hori
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Synthesis, Functionalization, and Three-Dimensional Structuring of Carbon Nanomaterials By Gas-Liquid Interface Plasma2022

    • Author(s)
      H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori
    • Organizer
      242nd ECS Meeting
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Nanoscale etching technologies for nitrides and carbides2022

    • Author(s)
      Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      Second Meeting of the NTC Technical Committee on Emerging Plasma Nanotechnologies
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Highly efficient exosome capture by carbon nanowalls template2022

    • Author(s)
      Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu, and Masaru Hori
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] In situ monitoring hydrogen fluoride molecular density and its effects on etch selectivity of SiN over SiO2 films with hydrogen-contained fluorocarbon down-flow plasmas2022

    • Author(s)
      Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      22ND INTERNATIONAL VACUUM CONGRESS IVC-22
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma diagnostics and characteristics of hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas2022

    • Author(s)
      Shih-Nan Hsiao, Yusuke Imai, Nicolay Britun, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • Organizer
      International Symposium on Semiconductor Manufacturing (ISSM 2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Transient Behaviors of Gaseous and Surface Reactions in a Cycle of Passivation and Etch Steps Using Ar-Based C4F8 and SF6 Plasma2022

    • Author(s)
      Kenji Ishikawa, Taito Yoshie, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      2022 MRS Spring Meeting & Exhibit
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Machine learning and contribution analysis of radicals to the properties of hydrogenated amorphous carbon films grown by a plasma-enhanced chemical vapor deposition2022

    • Author(s)
      Hiroki Kondo, Jumpei Kurokawa, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • Organizer
      22ND INTERNATIONAL VACUUM CONGRESS IVC-22
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Achieving selective etching of SiN and SiO2 over amorphous carbon during CF4/H2 by controlling substrate temperature2022

    • Author(s)
      Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] 高アスペクトホールエッチングにおけるストライエーションの形成メカニズム2022

    • Author(s)
      大村 光広、橋本 惇一、足立 昂拓、近藤 祐介、石川 勝朗、阿部 淳子、酒井 伊都子、林 久貴、関根 誠、堀 勝
    • Organizer
      第69回応用物理学会春季学術講演会
    • Invited
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] 原子層エッチングの反応素過程とその設計、制御2021

    • Author(s)
      石川 健治, Nguyen Thi-Thuy-Nga, 堤 隆嘉, 蕭 世男, 近藤 博基, 関根 誠, 堀 勝
    • Organizer
      第82回秋季応用物理学会
    • Invited
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] C3H6 / H2プラズマを用いたアモルファスカーボン成膜において水素ガス流量比が膜特性に与える影響2021

    • Author(s)
      黒川 純平, 光成 正, 堤 隆嘉, 近藤 博基, 関根 誠, 石川 健治, 堀 勝
    • Organizer
      第82回秋季応用物理学会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Optical transmission of carbon nanowalls from ultra-violet region to infra-red region2021

    • Author(s)
      Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • Organizer
      Material Research Meeting (MRM 2020)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Random forest model for property control of plasma deposited hydrogenated amorphous carbon films2021

    • Author(s)
      Junpei Kurokawa, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      42nd International Symposium on Dry Process (DPS)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] 窒化ガリウムのプラズマエッチング中その場分光エリプソメトリー観測2021

    • Author(s)
      南 吏玖, 石川 健治, 堤 隆嘉, 近藤 博基, 関根 誠, 小田 修, 堀 勝
    • Organizer
      第82回秋季応用物理学会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] プラズマ活性培養液(PAM)処理グリオーマのメタボロミクス解析2017

    • Author(s)
      倉家 尚之, 田中 宏昌, 石川 健治, 橋爪 博司 中村 香江, 梶山 広明, 吉川 史隆, 水野 正明, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Dynamic Observations on Morphology of HeLa Cell Membranes in Plasma Activated Medium by high-speed Atomic Force Microscopy2017

    • Author(s)
      S.Yamaoka, Y.Tonami, H.Hashizume, H.Kondo, K.Ishikawa, K.Takeda, H.Tanaka, M.Sekine, M.Ito, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] State of The Arts in Plasma Medical Science2017

    • Author(s)
      M.Hori, H.Tanaka, K.Ishikawa, K.Takeda, H.Hashizume, H.Kondo,M.Sekine, M.Mizuno, S.Toyokuni, F.Kikkawa
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Antitumor Effects of Laser-Generated Plasma Activated Medium (LPAM) with and without Catalase2017

    • Author(s)
      Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Time Evolution of Reactive Oxygen Nitrogen Species in Plasma-Activated Liquids2017

    • Author(s)
      Timothy R. Brubaker, K.Ishikawa, K.Takeda, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 高速原子間力顕微鏡を用いたプラズマ活性培養液内の 活性酸素窒素種による支持脂質二重膜変化の解析2017

    • Author(s)
      戸波卓也, 倉家尚之, 橋爪博司, 近藤博基, 石川健治, 竹田圭吾, 田中宏昌, 関根誠, 伊藤昌文, 手老龍吾, 堀 勝
    • Organizer
      第34回 プラズマプロセシング研究会/第29回 プラズマ材料科学シンポジウム
    • Place of Presentation
      北海道大学・学術交流会館
    • Year and Date
      2017-01-16
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Intracellular Changes of Reactive Oxygen and Nitrogen Species in HeLa Cells Induced by Plasma-Activated Medium2017

    • Author(s)
      R.Furuta, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Anti-Tumor Effects of Plasma-Activated Medium Which Contains Fullerenol2017

    • Author(s)
      D.Kanno, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性培養液の抗腫瘍効果の増強2017

    • Author(s)
      細井 祐吾,古田 凌, 倉家 尚之, 石川 健治, 橋爪 博司, 田中 宏昌, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Interaction of Plasma with Cells for Agriculture and Biomedical Applications2017

    • Author(s)
      M.Hori, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda, H,Hashizume, H.Tanaka, F.Kikkawa, M.Mizuno, M.Ito
    • Organizer
      AJC-APSE
    • Place of Presentation
      Nong Lam Univsersity
    • Year and Date
      2017-01-11
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] A Mechanism on Egneration of H2O2 and NO2- in Plasma Activated Medium2017

    • Author(s)
      N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno,K.Takeda, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] フラレノール添加プラズマ活性培養液の抗腫瘍効果2017

    • Author(s)
      神農 大輝,倉家 尚之,田中 宏昌,石川 健治,橋爪 博司,竹田 圭吾,近藤 博基,関根 誠,堀 勝
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Behavior of OH Radical in Gas-Liquid Interface Region on Ac Excided Non-Equilibrium Atmospheric Pressure Plasma Jet Irradiation to Liquid2017

    • Author(s)
      R. Kuramashi, K.Takeda, K.Ishikawa, H.tanaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Enhancement of Cancer Cell Killing Effect of Hydrogen Peroxide by Nitrite Existence in Plasma Activate Medium2017

    • Author(s)
      N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] マルチプレックスCARSによるプラズマと細胞の相互作用の観察2017

    • Author(s)
      古田 凌、石川 健治、橋爪 博司、田中 宏昌、竹田 圭吾、太田 貴之、近藤 博基、伊藤 昌文、関根 誠、堀 勝
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Time evolution of reactive oxygen nitrogen species in plasma-activated liquids2017

    • Author(s)
      Timothy Brubaker, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Antitumor Effect of Culture Medium Irradiated with Non-Equilibrium Atmospheric Pressure Plasmas under Purge of Ambient by N2 and O2 Mixtures2017

    • Author(s)
      Y.Hosoi, R.Furuta, N.Kurake, K.Ishikawa, H.Hashizume,H.Tanaka, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性培養液処理をしたHeLa細胞の細胞内応答解析2017

    • Author(s)
      古田 凌, 石川 健治, 橋爪 博司, 田中 宏昌, 竹田 圭吾, 太田 貴之, 近藤 博基, 伊藤 昌文, 関根 誠, 堀 勝
    • Organizer
      第34回 プラズマプロセシング研究会/第29回 プラズマ材料科学シンポジウム
    • Place of Presentation
      北海道大学・学術交流会館
    • Year and Date
      2017-01-16
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] レーザー誘起プラズマ活性培養液(LPAM)内活性種生成と抗腫瘍効果2017

    • Author(s)
      黒川幸宏,倉家尚之,竹田圭吾,石川健治,橋爪博司, 田中宏昌,近藤博基,関根誠,堀勝
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Elucidation of the Effects of Plasma-Activated Medium on Supported Lipid Bilayers by employing High-Speed Atomic Force Microscopy2017

    • Author(s)
      T.Tonami, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of gas- and liquid-phase reactive species generated by AC excited atmospheric pressure Ar Plasma2016

    • Author(s)
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Antitumor effect of Plasma-Activated-Medium (PAM) added with scavengers2016

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Dynamic behavior of HeLa cells in plasma-activated medium2016

    • Author(s)
      R.Furuta, H.hashizume, K.Ishikawa, H.Tanaka, K.Takeda, T. Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] A Novel Controlling Method of Proliferation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulation2016

    • Author(s)
      Suiki Tanaka, Tomonori Ichikawa, Hiroki Kondo, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • Organizer
      The 26th annual meeting of MRS-J
    • Place of Presentation
      Yokohama, Japan
    • Year and Date
      2016-12-19
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Atomic oxygen behavior at downstream of AC excited atmospheric pressure He plasma jet2016

    • Author(s)
      Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • Organizer
      69th Annual Gaseous Electronics Conference
    • Place of Presentation
      Bochum, Germany
    • Year and Date
      2016-10-10
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Particulates generation in the plasma activated medium (PAM)2016

    • Author(s)
      N.Kurake, H.Tanaka, K.Ishikawa, H.hashizume, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Behaviors of OH radical generated by irradiation of AC excited atmospheric pressure Ar jet to medium2016

    • Author(s)
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M. Hori
    • Organizer
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • Place of Presentation
      Inuyama, Japan
    • Year and Date
      2016-06-26
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Gas-Phase Diagnostics of AC Excited Non-equilibrium Atmospheric Pressure Plasma Jet for Biomedical Application2016

    • Author(s)
      T. Kumakura, K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, Y. Nakai, M. Sekine, M. Hori
    • Organizer
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-activated Medium (PAM) and Metabolic Analysis of Glioblastoma (U251SP)2016

    • Author(s)
      Kenji Ishikawa, Naoyuki Kurake, Hiroshi Hashizume, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Shinya Toyokuni, and Masaru Hori
    • Organizer
      The 26th annual meeting of MRS-J
    • Place of Presentation
      Yokohama, Japan
    • Year and Date
      2016-12-19
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Metabolic profiles on glioblastoma (U251SP) modified in plasma-activated medium (PAM) cultivation2016

    • Author(s)
      K.Ishikawa, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, K.Nakamura, H.Kajiyama, H.Kondo, M.Sekine, M.Kato, M.Mizuno, F.Kikkawa, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性培養液(PAM)内活性酸素・窒素種の解析2016

    • Author(s)
      倉家尚之、田中宏昌、石川健治、橋爪博司、中村香江、梶山広明、吉川史隆、近藤隆、水野正明、竹田圭吾、近藤博基、関根誠、堀勝
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東京工業大学 大岡山キャンパス
    • Year and Date
      2016-03-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Generation of Reactive Species In Medium Irradiated laser-Induced-Plasmas2016

    • Author(s)
      Y.Kurokawa, N.Kurake, K.takeda, K.Ishikawa, H.Hashizume, H.tnaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      AVS 63rd International Symposium & Exhibition
    • Place of Presentation
      Nashville, Tennessee, US
    • Year and Date
      2016-11-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Behaviors of gas- and liquid-phase reactive oxygen species generated by AC excited atmospheric pressure He plasma2016

    • Author(s)
      K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, Y. Nakai, M. Sekine, M. Hori
    • Organizer
      The Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications
    • Place of Presentation
      Eastin Tan Hotel, Chiang Mai, Thailand,
    • Year and Date
      2016-02-22
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Generation of active species in laser-induced-plasma activated medium2016

    • Author(s)
      Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Molecular vibrational imaging of plasma-induced biological samples2016

    • Author(s)
      R.Furuta, H.Hashizume, K.Ishikawa, H.Tanaka, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • Organizer
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • Place of Presentation
      Inuyama, Japan
    • Year and Date
      2016-06-26
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性培養液中のHeLa細胞の動的観察2016

    • Author(s)
      古田 凌、橋爪博司、石川健治、田中宏昌、竹田圭吾、太田貴之、近藤博基、関根誠、堀勝
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東京工業大学 大岡山キャンパス
    • Year and Date
      2016-03-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of activated species generated by non-equilibrium atmospheric pressure plasmas for plasma biomedical applications2016

    • Author(s)
      K.Ishikawa, K.Takeda, H. Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • Place of Presentation
      Inuyama, Japan
    • Year and Date
      2016-06-26
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Impact of Synergism of nitrate and hydrogen Preroxide on cell survivals in plasma-activated-medium(PAM)2016

    • Author(s)
      N. Kurake, H. Tanaka, K. Ishikawa, K. Nakamura, H. Kajiyama, F. Kikkawa, T. Kondo, M. Mizuno, H. Kondo, M. Sekine, M. Hori
    • Organizer
      43rd IEEE International Conference on Plasma Science
    • Place of Presentation
      Banff, Alberta, Canada
    • Year and Date
      2016-06-19
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 高速原子間力顕微鏡を用いたプラズマ活性培養液による脂質二重膜の形状変化の解析(Ⅱ)2016

    • Author(s)
      戸波卓也、倉家尚之、橋爪博司、近藤博基、石川健治、竹田圭吾、田中宏昌、関根誠、伊藤昌文、手老龍吾、堀勝
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東京工業大学 大岡山キャンパス
    • Year and Date
      2016-03-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Gas flow effect on transport of O(3Pj) atoms in ac power excited atmospheric pressure O2/Ar Plasma jet2016

    • Author(s)
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori
    • Organizer
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • Place of Presentation
      Inuyama, Japan
    • Year and Date
      2016-06-26
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma induced reactions on budding yeasts observed by multiplex coherent anti-Stokes Raman scattering (CARS)2016

    • Author(s)
      Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] レーザー誘起プラズマによる培養液中の活性種生成と細胞応答2016

    • Author(s)
      黒川幸宏、倉家尚之、竹田圭吾、石川健治、橋爪博司、田中宏昌、近藤博基、関根誠、堀勝
    • Organizer
      第77回応用物理学会秋季学術講演会
    • Place of Presentation
      朱鷺メッセ(新潟県新潟市)
    • Year and Date
      2016-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Latent Chemistry in Plasma activated medium (PAM)2016

    • Author(s)
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Hiroko Mizuno, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
    • Organizer
      20th International Vacuum congress IVC-20
    • Place of Presentation
      Busan, Korea
    • Year and Date
      2016-08-21
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] AC励起非平衡大気圧Arプラズマジェットによる活性種の生成機構2015

    • Author(s)
      竹田圭吾、梁思潔、熊倉匠、石川健治、田中宏昌、関根誠、堀勝
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Low-Damage Etching Technology for Nitride Semiconductor Devices2015

    • Author(s)
      Makoto Sekine
    • Organizer
      Plasma Science and Technology, AVS 62nd International Symposium & Exhibition
    • Place of Presentation
      the San Jose Convention Center, San Jose, CA
    • Year and Date
      2015-10-21
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] 医療・バイオ応用に向けたAC励起非平衡大気圧Heプラズマジェットの気相診断2015

    • Author(s)
      熊倉匠,竹田圭吾,石川健治,田中宏昌,近藤博基,加納浩之,中井義浩,関根誠,堀勝
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 大気圧プラズマ活性培養液の抗腫瘍効果作用機構の解析2015

    • Author(s)
      倉家 尚之、田中 宏昌、石川 健治、中村 香江、梶山 広明、吉川 史隆、近藤 隆、水野 正明、竹田 圭吾、近藤 博基、関根 誠、堀 勝
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      神奈川
    • Year and Date
      2015-03-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 高速液中原子間力顕微鏡を用いたプラズマ活性培養液による脂質二重膜の形状変化の解析2015

    • Author(s)
      戸波卓也, 倉家尚之, 橋爪博司, 近藤博基, 石川健治, 竹田圭吾, 田中宏昌, 関根 誠, 堀勝, 伊藤昌文, 手老龍吾
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of AC Excited Atmospheric Pressure He Plasma Jet With Vacuum Ultraviolet Absorption Spectroscopy2015

    • Author(s)
      Masaru Hori, Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine
    • Organizer
      10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] O Atom Density at Downstream of a High Density Atmospheric Pressure Plasma Source2015

    • Author(s)
      Masaru Hori, Takumi Kumakura, Keigo Taked, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine
    • Organizer
      The Joint Symposium of the 9th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology and the 28th Symposium on Plasma Science for Materials
    • Place of Presentation
      Nagasaki University, Japan
    • Year and Date
      2015-12-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-Activated-Medium(PAM)内酸窒化活性種生成機構2015

    • Author(s)
      倉家尚之, 田中宏昌, 石川健治, 中村香江, 梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基, 関根誠, 堀勝
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Multiplex Coherent Anti-Stokes Raman Scattering Microscopic Observation Of Plasma-induced Budding Yeast2015

    • Author(s)
      Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori
    • Organizer
      10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 非平衡大気圧プラズマ照射培養液中の酸窒化活性種の計測と抗腫瘍効果2015

    • Author(s)
      石川健治、倉家尚之、田中宏昌、近藤隆、水野寛子、橋爪博司、大沼章子、加藤昌志、中村香江、梶山広明、吉川史隆、水野正明、竹田圭吾、関根誠、堀勝
    • Organizer
      第68回日本酸化ストレス学会学術集会
    • Place of Presentation
      かごしま県民交流センター
    • Year and Date
      2015-06-11
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effect of Ambient Air on Reactive Species Generation in Atmospheric Pressure Ar Plasma Jet2015

    • Author(s)
      Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • Organizer
      10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Interaction of AC excited Atmospheric Pressure Ar Plasma Jet with Medium2015

    • Author(s)
      Keigo Takeda, Sijie Liang, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • Organizer
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • Place of Presentation
      Hawaii Convention Center, Hawaii, USA
    • Year and Date
      2015-10-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] マルチプレックスコヒーレントアンチストークスラマン散乱(CARS)顕微鏡を用いたプラズマ誘起生体反応機構の解明2015

    • Author(s)
      古田 凌、野村 多加博、橋爪 博司、竹田 圭吾、近藤 博基、石川 健治、太田 貴之、伊藤 昌文、関根 誠、堀 勝
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      神奈川
    • Year and Date
      2015-03-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effects of Plasma-Activated Medium on Surface Morphologies of Lipid Bilayers2015

    • Author(s)
      Takuya Tonami, Naoyuki Kurake, Hiroshi Hashizume, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masaru Hori, Masafumi Ito, Ryugo Tero
    • Organizer
      10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] AC励起大気圧Arプラズマの培養液照射中における気相活性種の計測2015

    • Author(s)
      梁 思潔、竹田 圭吾、熊倉 匠、近藤 博基、石川 健治、関根 誠、堀 勝
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      神奈川
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Hydrogen peroxide and nitrous ion generated in culture media by irradiation of non-equilibrium atmospheric pressure plasmas2015

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Reactive species in atmospheric pressure Ar plasma and their effect on yeast cells2015

    • Author(s)
      Makoto Sekine
    • Organizer
      International Workshop for Bio & Medical Applications of Plasma Science
    • Place of Presentation
      Josef Stefan Institute, Slovenia
    • Year and Date
      2015-09-29
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] Plasma-Surface Interactions Analyzed by Vibrational Sum-Frequency Generation (SFG)2015

    • Author(s)
      Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-27
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of AC excited Atmospheric Pressure Ar Plasma Jet with Laser Scattering spectroscopy2015

    • Author(s)
      K. Takeda, F. Jia, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • Organizer
      17th International Symposium on Laser-Aided Plasma Diagnostics
    • Place of Presentation
      Gateaux Kingdom Sapporo, Hokkaido, Japan
    • Year and Date
      2015-09-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Chemical Analysis of Antitumor Effect of Plasma-Activated-Medium2015

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiriaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-28
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Antitumor effect of synergistic contribution of nitrite and hydrogen peroxide in the Plasma-Activated-Medium2015

    • Author(s)
      Naoyuki kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • Place of Presentation
      Hawaii Convention Center, Hawaii, USA
    • Year and Date
      2015-10-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron Spin Resonance Study of Plasma-Liquid Medium Interactions2014

    • Author(s)
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka kikkawa, Masaaki Mizono, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-23
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 大気圧プラズマ照射培養液の抗腫瘍効果作用機序の解析2014

    • Author(s)
      倉家尚之, 田中宏昌,石川健治,中村香江,梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基,関根誠, 堀勝
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道
    • Year and Date
      2014-09-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet2014

    • Author(s)
      S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori
    • Organizer
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • Place of Presentation
      Meijo University, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma Induced Surface Roughness of Polymeric Materials2014

    • Author(s)
      K. Ishikawa (Invited), T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • Organizer
      18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics
    • Place of Presentation
      Fukuoka Japan
    • Invited
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] Plasma-Biological Surface Interaction for Food Hygiene2014

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      E-MRS and MRS-J Joint Symposium, Frontier of Nano-Materials Bed on Advanced Plasma Technologies
    • Place of Presentation
      Yokohama
    • Year and Date
      2014-12-11
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] DAWING OF PLASMA LIFE SCIENCES ~ DIAGNOSTICS AND CONTROL OF REACTIVE SPECIES IN PLASMA BIO PROCESSING ~2014

    • Author(s)
      M. Hori, M. Tanaka, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, F. Kikkawa, K. Nakamura, H. kajiyama and M. Mizuno
    • Organizer
      The 4th International Symposium for Plasma Biosciences
    • Place of Presentation
      Delpino Resort, Sokcho, Gangwon-do, Korea
    • Year and Date
      2014-08-18
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析2014

    • Author(s)
      石川健治, 倉家尚之, 田中宏昌, 中村香江, 近藤隆, 梶山広明, 吉川史隆, 水野正明, 竹田圭吾,近藤博基, 関根誠, 堀勝
    • Organizer
      第67回日本酸化ストレス学会学術集会
    • Place of Presentation
      京都
    • Year and Date
      2014-09-04
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] The Effect of Neutral Species on Modification of the A549 andSaos-2 Growth and Proliferation2014

    • Author(s)
      Satomi Tajima, Hiroshi Hashizume, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-23
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron Spin Resonance Study of Plasma-Activated-Medium2014

    • Author(s)
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-17
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of AC power excited non-equilibrium atmospheric pressure plasma jet with vacuum ultraviolet spectroscopy2014

    • Author(s)
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, Y. Higashijima, M. Sekine, M. Hori
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma nano-interface with organic materials for surface-roughness formation2014

    • Author(s)
      M. Sekine (Invited), Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori
    • Organizer
      The 9th EU-Japan Joint Symposium on Plasma Processing
    • Place of Presentation
      Bohinj Park ECO Hotel, Bohinjska Bistrica, Slovenia
    • Invited
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] プラズマ誘起バイオマテリアルの表面反応とプラズマ医療への展開2014

    • Author(s)
      石川健治,田中宏昌,橋爪博司,竹田圭吾,近藤博基,関根誠,堀勝
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      札幌
    • Year and Date
      2014-09-18
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of reactive species on bio-liquid treatment with AC power excited non-equilibrium atmospheric pressure Ar plasma2014

    • Author(s)
      Sijie Liang, Takumi Kumakura, Keigo Takeda, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Characteristics of AC excited non-equilibrium atmospheric pressure helium plasma jet for medical application2014

    • Author(s)
      Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, and Masaru Hori
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Ultra high density atmospheric pressure plasma source2014

    • Author(s)
      Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The International Symposium on Plasma-Nano Materials and Processes
    • Place of Presentation
      Seoul, Korea
    • Year and Date
      2014-04-03
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron Spin Resonance Study of Plasma Activated Media (PAM)2014

    • Author(s)
      M. Hori, N. Kurake, K. Ishikawa, H. Tanaka, T. Kondo, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, K. Takeda, H. Kondo and M. Sekine
    • Organizer
      2014 Material Research Society Fall Meeting & Exhibit
    • Place of Presentation
      Boston, USA
    • Year and Date
      2014-12-03
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron spin resonance study of radical generation during non-thermal plasma blood coagulation2014

    • Author(s)
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori
    • Organizer
      17th Biennial Meeting of Society for Free Radical Research International
    • Place of Presentation
      Kyoto International Conference Center, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas2014

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-23
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 非平衡大気圧プラズマによるアルテミアの成長への影響に関する研究2014

    • Author(s)
      熊倉匠, 竹田圭吾, 田中宏昌, 秋山真一, 石川健治, 近藤博基, 関根誠, 堀勝
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道
    • Year and Date
      2014-09-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma Induced Surface Roughness of ArF Photoresist Examined by Plasma-Beam Processes2013

    • Author(s)
      T. Takeuchi, Y. Zhang, K. Ishikawa, M. Sekine, Y. Setsuhara, K. Takeda, H. Kondo, M. Hori
    • Organizer
      AVS 60th International Symposium & Exhibition
    • Place of Presentation
      Long Beach, California, USA
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] Electromagnetic Simulation of Long-Slotted Waveguide Antenna for Production of Meter-Scale Plasma under Atmospheric Pressure2013

    • Author(s)
      H. Suzuki, S. Nakano, H. Itoh, M. Sekine, M. Hori, H. Toyada
    • Organizer
      66th Annual Gaseous Electronic Conference
    • Place of Presentation
      Westin Hotel Princeton, USA
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Measurement of actived species generated by AC power excited non-equilibrium atmospheric pressure Ar plasma jet with Air engulfment2013

    • Author(s)
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori
    • Organizer
      66th Annual Gaseous Electronic Conference
    • Place of Presentation
      Westin Hotel Princeton, USA
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Advanced Surface Engineering on Material Processing Employing Ultrahigh Density Atmospheric Pressure Plasma2013

    • Author(s)
      M. Hori, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine
    • Organizer
      The 1st International Conference on Surface Engineering
    • Place of Presentation
      Busan, Korea
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Cell-culturing scaffold application of carbon nanowall (CNW)2013

    • Author(s)
      M. Hori, H. Watanabe, H. Kondo, Y. Okamoto, M. Hiramatsu, M. Sekine, Y. Baba
    • Organizer
      XXXI International Conference on Phenomena in Ionized Gases (ICPIG)
    • Place of Presentation
      Granada Congress Centre, Spain
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Rapid precise measurements of film-covered-substrate temperatures during plasma processes2013

    • Author(s)
      M. Ito, T. Tsutsumi, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • Organizer
      The 1st International Conference on Surface Engineering
    • Place of Presentation
      Busan, Korea
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] ミドリカビ胞子からの実時間その場ESR信号観察2013

    • Author(s)
      石川健治、水野寛子、田中宏昌、橋爪博司、太田貴之、伊藤昌文、竹田圭吾、近藤博基、関根 誠、堀 勝
    • Organizer
      第66回日本酸化ストレス学会学術集会
    • Place of Presentation
      WINCあいち
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] In-situ ESR measurements for Plasma Materials Interractions2013

    • Author(s)
      K. Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, and M. Hori
    • Organizer
      9th Asian-european International Conference On Plasma Surface Enginnering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Korea
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] An Inhibition Mechanism for Surface Roughening of Photoresist During Plasma Etching Process with Plasma Cure2013

    • Author(s)
      Yan Zhang, Takuya Takeuchi, Hiroki Nagano, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Hiroki Kondo, Masaru Hori
    • Organizer
      第74回応用物理学会秋季学術講演会
    • Place of Presentation
      Kyoto Japan
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] Obstacles to inter-industry collaboration in product development : automobile and semiconductor industries in Japan2009

    • Author(s)
      Kanji Takeuchi, Makoto Sekine, Shuzo Fujimura
    • Organizer
      EURO MOT 2009
    • Place of Presentation
      Glasgow, Scotland
    • Year and Date
      2009-09-06
    • Data Source
      KAKENHI-PROJECT-20330079
  • [Presentation] 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析

    • Author(s)
      倉家尚之、田中宏昌、石川健治、中村香江、梶山広明、吉川史隆、近藤 隆、水野正明、竹田圭吾、近藤博基、関根 誠、堀 勝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Behaviors of Activated Species in SiH4/H2 Plasma for μc-Si:H Thin Film Deposition

    • Author(s)
      K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • Organizer
      18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • Place of Presentation
      Fukuoka Convention Center & Nishijin Plaza, Japan
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(5)

    • Author(s)
      王 浩然、石川健治、堀邉英夫、竹田圭吾、近藤博基、関根 誠、堀 勝
    • Organizer
      平成25年秋季第74回応用物理学会学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron spin resonance analysis of plasma-biological material interactions in atmospheric pressure plasma

    • Author(s)
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori
    • Organizer
      International Workshop on 8th ICRP/31th SPP
    • Place of Presentation
      Fukuoka Convention Center, Japan
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Modifications of Photoresists Surface on Photon Irradiations in HBr Plasmas

    • Author(s)
      Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • Place of Presentation
      Gunsan, South Korea
    • Year and Date
      2015-07-01 – 2015-07-02
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] Interactions between Plasma and Biological Material: Analysis by Electron spin resonance (ESR) technique

    • Author(s)
      Ishikawa Kenji, Hiromasa Tanaka, Keigo Takeda, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      Plasma Processing Science (Gordon Research Conference)
    • Place of Presentation
      Smithfield, RI, USA
    • Year and Date
      2014-07-27 – 2014-08-01
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effect of gas flow on spatial distribution of O (3Pj) atoms in ac power excited on-equilibrium atmospheric pressure O2/Ar plasma jet

    • Author(s)
      K. Takeda, F. Jia, K. Ishikawa, H. Kano, M. Sekine, M. Hori
    • Organizer
      8th International Conference on Reactive Plasmas
    • Place of Presentation
      Fukuoka Convention Center, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Chemical modification and nano-bio applications of carbon nanowalls

    • Author(s)
      H. Kondo, M. Hiramatsu, M. Sekine, M. Hori
    • Organizer
      17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • Place of Presentation
      Sungkyunkwan University, Korea
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] AC 励起大気圧非平衡 Ar プラズマ生成における 大気ガス巻込みの影響

    • Author(s)
      梁 思潔、竹田圭吾、近藤博基、加納浩之、石川健治、関根 誠、堀 勝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)
    • Place of Presentation
      Greifswald, Germany
    • Year and Date
      2014-07-15 – 2014-07-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] パルスマイクロ波励起大気圧ラインプラズマの空間分解計測

    • Author(s)
      鈴木陽香、中野 優、伊藤 仁、関根 誠、堀 勝、豊田浩孝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of AC excited Atmospheric Pressure Plasma Jet with He for Biomedical Applications

    • Author(s)
      M. Hori, K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, H. Kondo, M. Sekine, Y. Nakai
    • Organizer
      67th Gaseous Electronics Conference
    • Place of Presentation
      Raleigh, North Carolina, USA
    • Year and Date
      2014-11-02 – 2014-11-07
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] AC励起非平衡大気圧プラズマの放電機構の解明に向けた時空間計測

    • Author(s)
      梁 思潔、孫 昿達、竹田圭吾、近藤博基、加納浩之、石川健治、関根 誠、堀 勝
    • Organizer
      平成25年秋季第74回応用物理学会学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 医療・バイオ用AC励起大気圧非平衡プラズマジェットの気相診断

    • Author(s)
      熊倉 匠、竹田圭吾、石川健治、田中宏昌、近藤博基、加納浩之、中井義浩、関根 誠,、堀 勝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究

    • Author(s)
      石川健治、鷲見直也、河野昭彦、堀邊英夫、竹田圭吾、近藤博基、関根 誠、堀 勝
    • Organizer
      平成25年秋季第74回応用物理学会学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Vacuum ultraviolet spectroscopic analysis of AC excited non-equilibrium atmospheric pressure Ar plasma jet

    • Author(s)
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, M. Sekine, M. Hori
    • Organizer
      67th Gaseous Electronics Conference
    • Place of Presentation
      Raleigh, North Carolina, USA
    • Year and Date
      2014-11-02 – 2014-11-07
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Agricultural Application

    • Author(s)
      Takumi Kumakura, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, Masaru Hori
    • Organizer
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • Place of Presentation
      Gunsan, Korea
    • Year and Date
      2014-07-06 – 2014-07-07
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ照射した食肉血液成分の電子スピン共鳴解析

    • Author(s)
      坂倉崚亮、石川健治、田中宏昌、橋爪博司、太田貴之、伊藤昌文、竹田圭吾、近藤博基、関根 誠、堀 勝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Elucidation of plasma induced reaction mechanism for living cells using multiplex coherent anti-Stokes Raman scattering (CARS) microscopy

    • Author(s)
      Ryo Furuta, Takahiro Nomura, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori
    • Organizer
      The 2nd International Workshop on Plasma for Cancer Treatment
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-16 – 2015-03-17
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] AC励起非平衡大気圧Arプラズマジェットにおける活性種生成への大気巻き込みの影響

    • Author(s)
      竹田圭吾、石川健治、田中宏昌、加納浩之、関根 誠、堀 勝
    • Organizer
      平成25年秋季第74回応用物理学会学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] メートル級マイクロ波大気圧ラインプラズマの均一性評価

    • Author(s)
      中野 優、鈴木陽香、伊藤 仁、関根 誠、堀 勝、豊田浩孝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma Induced Roughness Formation on Photoresist Examined by HBr Plasma-Beam Etching

    • Author(s)
      Yan Zhang, Makoto Sekine, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Masaru Hori
    • Organizer
      61st American Vacuum Symposium
    • Place of Presentation
      Baltimore, MD USA
    • Year and Date
      2015-11-10 – 2015-11-15
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] 新規アンテナ構造を用いたメートル級マイクロ波大気圧ラインプラズマの生成

    • Author(s)
      鈴木陽香、中野 優、伊藤 仁、関根 誠、堀 勝、豊田浩孝
    • Organizer
      平成25年秋季第74回応用物理学会学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma Induced Surface Roughness of Polymeric Materials

    • Author(s)
      K. Ishikawa, T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • Organizer
      18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • Place of Presentation
      Fukuoka Convention Center & Nishijin Plaza, Japan
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas

    • Author(s)
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, and M. Hori
    • Organizer
      8th International Conference on Reactive Plasmas
    • Place of Presentation
      Fukuoka Convention Center, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effects of long-lived reactive species in the Plasma-Activated-Medium

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The 2nd International Workshop on Plasma for Cancer Treatment
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-16 – 2015-03-17
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • Place of Presentation
      Gunsan, Korea
    • Year and Date
      2014-07-06 – 2014-07-07
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of activated species generated by AC excited non-equilibrium atmospheric pressure Ar plasma jet for plasma medical and bio applications

    • Author(s)
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori
    • Organizer
      International Workshop on 8th ICRP/31th SPP
    • Place of Presentation
      Fukuoka Convention Center, Japan
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Roughness formation on photoresist during etching examined by HBr plasma-beam

    • Author(s)
      Makoto Sekine, Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, and Masaru Hori
    • Organizer
      Gaseous Electronics Conference (GEC)
    • Place of Presentation
      Raleigh NC, USA
    • Year and Date
      2015-11-03 – 2015-11-07
    • Data Source
      KAKENHI-PROJECT-25286080
  • 1.  ISHIKAWA Kenji (60417384)
    # of Collaborated Projects: 3 results
    # of Collaborated Products: 188 results
  • 2.  TAKEDA Keigo (00377863)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 121 results
  • 3.  KONDO Hiroki (50345930)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 119 results
  • 4.  FUJIMURA Shuzo (90377044)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 1 results
  • 5.  OGATA Wakaha (90275313)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 6.  HAYASHI Toshio (30519591)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 4 results
  • 7.  HORI Masaru (80242824)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 127 results
  • 8.  OHTA Takayuki (10379612)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 24 results
  • 9.  TANAKA Hiromasa (00508129)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 86 results
  • 10.  YAJIMA Ichiro (80469022)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 11.  IIDA Machiko (60465515)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 12.  OMATA Yasuhiro (20644371)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 13.  鈴置 保雄 (10115587)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 14.  加藤 昌志 (10281073)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 4 results
  • 15.  秋山 真一 (20500010)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 1 results
  • 16.  平松 美根男 (50199098)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 10 results
  • 17.  堤 隆嘉 (50756137)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 45 results
  • 18.  HASHIZUME Hiroshi
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 51 results
  • 19.  近藤 隆
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 20.  節原 裕一
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 21.  平田 孝道
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results

URL: 

Are you sure that you want to link your ORCID iD to your KAKEN Researcher profile?
* This action can be performed only by the researcher himself/herself who is listed on the KAKEN Researcher’s page. Are you sure that this KAKEN Researcher’s page is your page?

この研究者とORCID iDの連携を行いますか?
※ この処理は、研究者本人だけが実行できます。

Information User Guide FAQ News Terms of Use Attribution of KAKENHI

Powered by NII kakenhi