• 研究課題をさがす
  • 研究者をさがす
  • KAKENの使い方
  1. 前のページに戻る

関根 誠  Sekine Makoto

ORCIDORCID連携する *注記
研究者番号 80437087
その他のID
所属 (現在) 2025年度: 名古屋大学, 低温プラズマ科学研究センター, 特任教授
所属 (過去の研究課題情報に基づく) *注記 2021年度 – 2023年度: 名古屋大学, 低温プラズマ科学研究センター, 特任教授
2016年度: 名古屋大学, 大学院工学研究科, 特任教授
2013年度 – 2015年度: 名古屋大学, 工学(系)研究科(研究院), 教授
2010年度: 名古屋大学, 工学研究科付属プラズマナノ工学研究センター, 特任教授
審査区分/研究分野
研究代表者
小区分14030:プラズマ応用科学関連 / プラズマエレクトロニクス
研究代表者以外
理工系 / 経営学
キーワード
研究代表者
プラズマエッチング / 電子衝突解離 / 電子衝突反応 / エッチング / プラズマ / コインシデンス分光 / シリコン酸化膜 / ハイドロフロオロカーボン / プラズマビーム / レジスト / 凹凸 / プラズマ加工 / プラズマ化学 … もっと見る
研究代表者以外
… もっと見る バイオ / カーボンナノウォール / アポトーシス / がん細胞 / 医療 / 大気圧プラズマ / がん治療 / プラズマ活性溶液 / メラノーマ / システムバイオロジー / 液中プラズマ / ラジカル / プラズマ / 原子間力顕微鏡 / シュウ酸カルシウム / 活性酸素種 / 人工脂質二重膜 / 創傷治癒 / 抗腫瘍効果 / プラズマ活性点滴 / プラズマ活性培養液 / プラズマ医療 / コンソーシアム / 自動車電子化 / 半導体素子 / 利益相反 / 評価技術 / 産業間共生 / ハイパフォーマー / 半導体産業 / 自動車産業 / 他分野の知見 / アナロジ / オープン化 / アーキテクチャ / 技術伝播 隠す
  • 研究課題

    (4件)
  • 研究成果

    (212件)
  • 共同研究者

    (21人)
  •  低温プラズマ加工の理論-計算-計測の連携環境構築による一原子一分子制御工学の創成研究代表者

    • 研究代表者
      関根 誠
    • 研究期間 (年度)
      2021 – 2023
    • 研究種目
      基盤研究(B)
    • 審査区分
      小区分14030:プラズマ応用科学関連
    • 研究機関
      名古屋大学
  •  プラズマ微細加工におけるナノ揺らぎ制御に係わるプラズマ科学の創成研究代表者

    • 研究代表者
      関根 誠
    • 研究期間 (年度)
      2013 – 2015
    • 研究種目
      基盤研究(B)
    • 研究分野
      プラズマエレクトロニクス
    • 研究機関
      名古屋大学
  •  プラズマ医療のための気相・表界面反応ダイナミクスの計測と体系化

    • 研究代表者
      堀 勝
    • 研究期間 (年度)
      2012 – 2016
    • 研究種目
      新学術領域研究(研究領域提案型)
    • 審査区分
      理工系
    • 研究機関
      名古屋大学
  •  自動車電子化推進のための自動車-半導体産業間技術共同開発の在り方

    • 研究代表者
      藤村 修三
    • 研究期間 (年度)
      2008 – 2010
    • 研究種目
      基盤研究(B)
    • 研究分野
      経営学
    • 研究機関
      東京工業大学

すべて 2024 2023 2022 2021 2017 2016 2015 2014 2013 2009 その他

すべて 雑誌論文 学会発表

  • [雑誌論文] <i>In situ</i> atom-resolved observation of Si (111) 7×7 surface with F radical and Ar ion irradiation simulated atomic layer etching2024

    • 著者名/発表者名
      Tsutsumi Takayoshi、Asano Atsuki、Kondo Hiroki、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • 雑誌名

      Journal of Vacuum Science &amp; Technology A

      巻: 42 号: 3 ページ: 032603-032603

    • DOI

      10.1116/6.0003432

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Dissociative properties of C<sub>4</sub>F<sub>6</sub> obtained using computational chemistry2024

    • 著者名/発表者名
      Hayashi Toshio、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 63 号: 4 ページ: 04SP26-04SP26

    • DOI

      10.35848/1347-4065/ad3166

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF62023

    • 著者名/発表者名
      Yoshie Taito、Ishikawa Kenji、Nguyen Thi-Thuy-Nga、Hsiao Shih-Nan、Tsutsumi Takayoshi、Sekine Makoto、Hori Masaru
    • 雑誌名

      Applied Surface Science

      巻: 638 ページ: 157981-157981

    • DOI

      10.1016/j.apsusc.2023.157981

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-23K03367, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases2023

    • 著者名/発表者名
      Hsiao Shih-Nan、Sekine Makoto、Ishikawa Kenji、Iijima Yuki、Ohya Yoshinobu、Hori Masaru
    • 雑誌名

      Applied Physics Letters

      巻: 123 号: 21 ページ: 1-4

    • DOI

      10.1063/5.0173553

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substrate temperature with a CF4/H2 plasma2023

    • 著者名/発表者名
      Hsiao Shih-Nan、Britun Nikolay、Nguyen Thi-Thuy-Nga、Tsutsumi Takayoshi、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • 雑誌名

      Vacuum

      巻: 210 ページ: 111863-111863

    • DOI

      10.1016/j.vacuum.2023.111863

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] Study of optical emission spectroscopy using modified Boltzmann plot in dual-frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O2/C4F8 plasma etching process2022

    • 著者名/発表者名
      Sahu Bibhuti Bhusan、Nakane Kazuya、Ishikawa Kenji、Sekine Makoto、Tsutsumi Takayoshi、Gohira Taku、Ohya Yoshinobu、Ohno Noriyasu、Hori Masaru
    • 雑誌名

      Physical Chemistry Chemical Physics

      巻: 24 号: 22 ページ: 13883-13896

    • DOI

      10.1039/d2cp00289b

    • 査読あり / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis2022

    • 著者名/発表者名
      Kurokawa Jumpei、Kondo Hiroki、Tsutsumi Takayoshi、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • 雑誌名

      Vacuum

      巻: 205 ページ: 111351-111351

    • DOI

      10.1016/j.vacuum.2022.111351

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073, KAKENHI-PROJECT-20K14453
  • [雑誌論文] Dissociation channels of c-C4F8 to C2F4 in reactive plasma2022

    • 著者名/発表者名
      Hayashi Toshio、Ishikawa Kenji、Iwayama Hiroshi、Sekine Makoto、Hori Masaru
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 61 号: 10 ページ: 106006-106006

    • DOI

      10.35848/1347-4065/ac895e

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス2021

    • 著者名/発表者名
      堤隆嘉,石川健治,近藤博基,関根誠,堀勝
    • 雑誌名

      プラズマ核融合学会誌

      巻: 97 ページ: 517-521

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] On the Etching Mechanism of Highly Hydrogenated SiN Films by CF4/D2 Plasma: Comparison with CF4/H22021

    • 著者名/発表者名
      Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • 雑誌名

      Coatings

      巻: 11 号: 12 ページ: 1535-1535

    • DOI

      10.3390/coatings11121535

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Ar/SF6 plasma simulation for dual-frequency capacitively coupled plasma incorporating gas flow simulation and secondary electron emission2021

    • 著者名/発表者名
      Shigeyuki Takagi, Suguru Kawamura, Makoto Sekine
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 61 号: SA ページ: SA1009-SA1009

    • DOI

      10.35848/1347-4065/ac1eab

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF4/H2 plasma at different substrate temperatures2021

    • 著者名/発表者名
      Shih‐Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • 雑誌名

      Plasma Processes and Polymers

      巻: 18 号: 11 ページ: 2100078-2100078

    • DOI

      10.1002/ppap.202100078

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073, KAKENHI-PROJECT-20K14453
  • [雑誌論文] Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet2017

    • 著者名/発表者名
      Keigo Takeda Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka3  Makoto Sekine  Masaru Hori
    • 雑誌名

      Applied Physics Express

      巻: 10 号: 3 ページ: 036201-036201

    • DOI

      10.7567/apex.10.036201

    • NAID

      210000135797

    • 査読あり / 謝辞記載あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [雑誌論文] Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium2016

    • 著者名/発表者名
      Kurake N, Tanaka H, Ishikawa K, Kondo T, Sekine M, Nakamura K, Kajiyama Hi, Kikkaw F, Mizun M, Hori M
    • 雑誌名

      Arch Biochem Biophys

      巻: 未定 ページ: 102-108

    • DOI

      10.1016/j.abb.2016.01.011

    • 査読あり / 謝辞記載あり
    • データソース
      KAKENHI-PUBLICLY-15H00892, KAKENHI-PUBLICLY-15H00900, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PLANNED-24108008, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [雑誌論文] Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures2015

    • 著者名/発表者名
      Zecheng Liu, Jialin Pan, Takashi Kako Kenji Ishikawa, Osamu Oda, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Japan. J. Appl. Phys.

      巻: 54 号: 6S2 ページ: 06GB04-06GB04

    • DOI

      10.7567/jjap.54.06gb04

    • NAID

      210000145318

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions2015

    • 著者名/発表者名
      Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 雑誌名

      J. Phys. D: Appl. Phys.

      巻: 48 号: 4 ページ: 045202-045202

    • DOI

      10.1088/0022-3727/48/4/045202

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis2015

    • 著者名/発表者名
      T. Tsutsumi, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori
    • 雑誌名

      Japanese Journal of Applied Physices

      巻: 54 号: 1S ページ: 01AB04-01AB04

    • DOI

      10.7567/jjap.55.01ab04

    • NAID

      210000145944

    • 査読あり
    • データソース
      KAKENHI-PROJECT-15H02032, KAKENHI-PROJECT-25286080
  • [雑誌論文] Electronic properties of HBr, O2 and Cl2 used in Si etching2015

    • 著者名/発表者名
      Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Japan. J. Appl. Phys.

      巻: 54 号: 6S2 ページ: 06GA03-06GA03

    • DOI

      10.7567/jjap.54.06ga03

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching2015

    • 著者名/発表者名
      Takayoshi Tsutsumi, Yusuke Fukunaga, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori
    • 雑誌名

      IEEE Trans Semiconductor manufacturing

      巻: 28 号: 4 ページ: 515-520

    • DOI

      10.1109/tsm.2015.2470554

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase2015

    • 著者名/発表者名
      Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Japan. J. Appl. Phys.

      巻: 54 号: 4 ページ: 040301-040301

    • DOI

      10.7567/jjap.54.040301

    • NAID

      210000144897

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton2015

    • 著者名/発表者名
      Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Japan. J. Appl. Phys.

      巻: 54 号: 4 ページ: 040303-040303

    • DOI

      10.7567/jjap.54.040303

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution2014

    • 著者名/発表者名
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Jpn. J. Appl. Phys.

      巻: 53 号: 4 ページ: 040305-040305

    • DOI

      10.7567/jjap.53.040305

    • NAID

      210000143507

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [雑誌論文] Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50°C2014

    • 著者名/発表者名
      Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Jpn. J. Appl. Phys.

      巻: 53 号: 1 ページ: 010305-010305

    • DOI

      10.7567/jjap.53.010305

    • NAID

      210000143231

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O2 non-equilibrium atmospheric pressure plasma jet2014

    • 著者名/発表者名
      Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • 雑誌名

      Plasma Source Sci. Technol

      巻: 23 号: 2 ページ: 025004-025004

    • DOI

      10.1088/0963-0252/23/2/025004

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls2014

    • 著者名/発表者名
      Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • 雑誌名

      Jpn. J. Appl. Phys.

      巻: 53 号: 4 ページ: 040307-040307

    • DOI

      10.7567/jjap.53.040307

    • NAID

      210000143509

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [雑誌論文] Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls2014

    • 著者名/発表者名
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Appl. Phys. Express

      巻: 7 号: 4 ページ: 046201-046201

    • DOI

      10.7567/apex.7.046201

    • NAID

      210000137068

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [雑誌論文] Carbon nanowall scaffold to control culturing of cervical cancer cells2014

    • 著者名/発表者名
      Hitoshi Watanabe, Hiroki Kondo, Yukihiro Okamoto, Mineo Hiramatsu, Makoto Sekine, Yoshinobu Baba, Masaru Hori
    • 雑誌名

      Appl. Phys. Lett.

      巻: 105 号: 24

    • DOI

      10.1063/1.4902054

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment2013

    • 著者名/発表者名
      Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, and Masaru Hori
    • 雑誌名

      J. Appl. Phys.

      巻: 103 号: 1 ページ: 14306-14306

    • DOI

      10.1063/1.4772996

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Effect of gas flow on transport of O (3Pj) atoms produced in ac power excited non-equilibrium atmospheric-pressure O2/Ar plasma jet2013

    • 著者名/発表者名
      K. Takeda, M. Kato, F. Jia, K. Ishikawa, H. Kano, M. Sekine, and M. Hori
    • 雑誌名

      J. Phys. D: Appl. Phys.

      巻: 46 号: 46 ページ: 464006-464006

    • DOI

      10.1088/0022-3727/46/46/464006

    • 査読あり
    • データソース
      KAKENHI-PLANNED-21110006, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002
  • [雑誌論文] A novel fast and flexible technique of radical kinetic behaviour investigation based on pallet for plasma evaluation structure and numerical analysis2013

    • 著者名/発表者名
      Arkadiusz Malinowski, Takuya Takeuchi, Shang Chen, Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Lidia Lukasiak, and Andrzej Jakubowski
    • 雑誌名

      J. Phys. D: Appl. Phys.

      巻: 46 号: 26 ページ: 265201-265201

    • DOI

      10.1088/0022-3727/46/26/265201

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-25286080
  • [雑誌論文] Surface Chemical Modification of Carbon Nanowalls for Wide-Range Control of Surface Wettability2013

    • 著者名/発表者名
      H. Watanabe, H. Kondo, M. Hiramatsu, M. Sekine, S. Kumar, K. Ostrikov, M. Hori
    • 雑誌名

      Plasma Process. Polym.

      巻: 10 号: 7 ページ: 582-592

    • DOI

      10.1002/ppap.201200141

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [雑誌論文] Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls2013

    • 著者名/発表者名
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Appl. Phys. Express

      巻: 6 号: 9 ページ: 095201-095201

    • DOI

      10.7567/apex.6.095201

    • NAID

      10031199873

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [雑誌論文] Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma2013

    • 著者名/発表者名
      Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori
    • 雑誌名

      J. Phys. D: Appl. Phys.

      巻: 46 号: 10 ページ: 102001-102001

    • DOI

      10.1088/0022-3727/46/10/102001

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls2013

    • 著者名/発表者名
      Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • 雑誌名

      Appl. Phys. Express

      巻: 6 号: 4 ページ: 045103-045103

    • DOI

      10.7567/apex.6.045103

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry2013

    • 著者名/発表者名
      Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito
    • 雑誌名

      Appl. Phys. Lett.

      巻: 103 号: 18

    • DOI

      10.1063/1.4827426

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties2013

    • 著者名/発表者名
      Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • 雑誌名

      Carbon

      巻: 68 ページ: 380-388

    • DOI

      10.1016/j.carbon.2013.11.014

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [雑誌論文] Investigations on Plasma-Biomolecules Interactions as Fundamental Process for Plasma Medicine2013

    • 著者名/発表者名
      Kosuke Takenaka, Ken Cho, Yuichi Setsuhara, Masaharu Shiratani, Makoto Sekine, Masaru Hori
    • 雑誌名

      Journal of Physics: Conference Series

      巻: 441 ページ: 012001-012001

    • DOI

      10.1088/1742-6596/441/1/012001

    • 査読あり
    • データソース
      KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002
  • [学会発表] Determination of ground state atomic concentrations during etching process2024

    • 著者名/発表者名
      Michael K. T. Mo, S.-N. Hsiao, M. Sekine, M. Hori, and N. Britun
    • 学会等名
      ISPlasma2024/IC-PLANTS2024/APSPT-13, 2024/3/3-3/7, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Cryogenic Atomic Layer Etching of Silicon Nitride Alternating Surface Modification with HF Purge and Ar Plasma2024

    • 著者名/発表者名
      Shih-Nan Hsiao, Makoto Sekine, Yuki Iijima and Masaru Hori
    • 学会等名
      ISPlasma2024/IC-PLANTS2024/APSPT-13, 2024/3/3-3/7, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Selective Etching of SiO2 and SiN over Polycrystalline Si Using PF3/H2 Plasmas2024

    • 著者名/発表者名
      Chih-Yu Ma, Shih-Nan Hsiao, Michael K. T. Mo, Nikolay Britun, Makoto Sekine and Masaru Hori
    • 学会等名
      ISPlasma2024/IC-PLANTS2024/APSPT-13, 2024/3/3-3/7, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Atomic layer etching of SiN films with CF4/H2 surface modification and H2/N2 plasma exposure2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Makoto Sekine1 and Masaru Hori
    • 学会等名
      International Conference on Phenomena in Ionized Gases ICPIG XXXV , 2023/7/10-14, the Netherland
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Unravelling Dissociation of Hydrofluorocarbon Molecules through Photoelectron-Photoion Coincidence (PEPICO) Studies2023

    • 著者名/発表者名
      Tran Trung Nguyen, Kenji Ishikawa, Toshio Hayashi, Hiroshi Iwayama, Shih-Nan Hsiao, Makoto Sekine, and Masaru Hori
    • 学会等名
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023), 2023/11/5-8, Busan, Korea
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Transient behavior of cycle process in Ar plasma with alternately injected C4F8 and SF62023

    • 著者名/発表者名
      Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • 学会等名
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023), 2023/11/5-8, Busan, Korea
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Self-limited fluorination of electron-beam-irradiated GaN surface2023

    • 著者名/発表者名
      Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] A comparative study on the CF4/H2 and HF/H2 plasmas for etching of highly hydrogenated SiN films2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      25th International Symposium on Plasma Chemistry (ISPC25), 2023/5/21-26, Kyoto, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Hydrofluorocarbon Molecule Dissociation through Photoeoctron-Photoion Coincidence (PEPICO) Studies2023

    • 著者名/発表者名
      Tran Trung Nguyen, Toshio Hayashi, Hiroshi Iwayama, Shih-Nan Hsiao, Makoto Sekine, Masaru Hori and Kenji Ishikawa,
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma-based pseudo-wet mechanism for cryogenic SiO2 etching using hydrogen-contained fluorocarbon gases with an in-situ surface analysis2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Makoto Sekine, Takayoshi Tsutsumi, Kenji Ishikawa, Manabu Iwata, Maju Tomura, Yuki Iijima, Taku Gohira, Keiichi Matsushima, Yoshinobu Ohya, Masaru Hori
    • 学会等名
      The 76th Annual Gaseous Electronics Conference (GEC76), 2023/10/9-13, Michigan, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Simultaneous measurements of F, O and H ground state atom density in an industry-grade etching plasma2023

    • 著者名/発表者名
      M. K. T. Mo, S.-N. Hsiao, M. Sekine, M. Hori, and N. Britun
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Etch selectivities of SiO2 and SiN against a-C films using CF4/H2 plasma at low temperature2023

    • 著者名/発表者名
      Y. Imai, S-N. Hsiao, M. Sekine, K. Ishikawa, T. Tsutsumi, M. Iwata, M. Tomura,Y. Iijima, K. Matsushima and M. Hori
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Study on plasma process using adsorbed C7F14 as an etchant2023

    • 著者名/発表者名
      Kohei Masuda,Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao,Takayoshi Tsutsumi,Hiroki Kondo, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] On the mechanism of high-speed SiO2 etching using hydrogen fluoride-contained plasmas at cryogenic temperature2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Makoto Sekine, Nikolay Britun, Michael Kin-Ting Mo, Yusuke Imai, Takayoshi Tsusumi, Kenji Ishikawa, Yuki Iijima, Masahiko Yokoi, Ryutaro Suda, Yoshihide Kihara and Masaru Hori
    • 学会等名
      Global Plasma Forum in Aomori , 2023/10/15-18, Aomori, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Simultaneous measurements of F, O and H ground state atom density in an industry-grade etching plasma2023

    • 著者名/発表者名
      M. K. T. Mo, S.-N. Hsiao, M. Sekine, M. Hori, and N. Britun
    • 学会等名
      Global Plasma Forum in Aomori , 2023/10/15-18, Aomori, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Etching silicon oxide, silicon nitride, and polysilicon films in CH2FCHF2 hydrofluorocarbon plasma2023

    • 著者名/発表者名
      Trung-Nguyen Tran,Thi-Thuy-Nga Nguyen,Kenji Ishikawa, Shih-Nan Hsiao,Toshio Hayashi,Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Dissociative properties of C4F6 obtained using computational chemistry2023

    • 著者名/発表者名
      T. Hayashi, K. Ishikawa, M. Sekine, and M. Hori
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] A pseudo-wet cryogenic plasma etching of SiO2 investigated with in-situ surface monitoring2023

    • 著者名/発表者名
      S-N Hsiao, M. Sekine, K. Ishikawa, T.Tsutsumi, and M. Hori Y Iijima, R. Suda, Y. Kihara
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Electron-Beam-Assisted Self-limiting fluorination of GaN surface using XeF2 for Atomic Layer Etching2023

    • 著者名/発表者名
      Y. Izumi, T. Tsutsumi, H Kondo, M. Sekine, M. Hori, and K. Ishikawa
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Characterization of plasmas and polymerized hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas2023

    • 著者名/発表者名
      Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Etching Selectivities of SiO2 and SiN Against a-C Films Using CF4/H2 with a Pseudo-Wet Plasma Etching Mechanism2023

    • 著者名/発表者名
      Yusuke Imai, S. Hsiao, M. Sekine, T. Tsutsumi, K. Ishikawa, M. Iwata, M. Tamura, Y. Iijima, T. Gohira, K. Matsushima, Y. Ohya, M. Hori,
    • 学会等名
      AVS 69th International Symposium and Exhibition (AVS 69), 2023/11/5-10, Portland, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] In situ monitoring surface structure during hydrofluorocarbon assisted atomic layer etching of silicon nitride using CF4/H2 and H2 plasmas2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Makoto Sekine and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Positive and negative ion behaviors in DC-imposed Ar/SF6 pulsed plasma2023

    • 著者名/発表者名
      Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, S-N. Hsaio, Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Control of etching profile by bias supply timing in cyclic process using C4F8/SF6 gas modulated plasma2023

    • 著者名/発表者名
      T. Yoshie, K. Ishikawa, T. Tsutsumi, M. Sekine, and M. Hori
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Global and local contribution analysis of process parameters in Plasma enhanced chemical vapor deposition of amorphous carbon har2023

    • 著者名/発表者名
      Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 4th International Conference on Data Driven Plasma Sciences ( ICDDPS-4 ), 2023/4/16-21, Okinawa, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Compositions of Ions Related with Electrode Materials in Pulsed Plasma for High-Aspect-Ratio Hole Etching2023

    • 著者名/発表者名
      K. Toji, T. Tsutsumi, S-N. Hsiao, M. Sekine, M. Hori, and K. Ishikawa
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] A mechanism for cryogenic etching of SiO2 using CF4/H2 and HF plasmas based on in-situ monitoring techniques2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Yusuke Imai, Makoto Sekine, Nikolay Britun, Michael K. T. Mo, Yuki Iijima, Ryutaro Suda, Yoshinobu Ohya, Yoshihide Kihara, and Masaru Hori
    • 学会等名
      36th International Microprocesses and Nanotechnology Conference (MNC 2023), 2023/11/14-17, Sapporo, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Contribution analysis of process parameters in plasma-enhanced chemical vapor deposition of amorphous carbon2023

    • 著者名/発表者名
      Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] A pseudo-wet plasma etching mechanism for SiO2 at cryogenic temperature using hydrogen fluoride gas with in-situ surface monitoring2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Makoto Sekine, Yuki Iijima, Ryutaro Suda, Yoshinobu Ohya, Yoshihide Kihara, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori
    • 学会等名
      AVS 69th International Symposium and Exhibition (AVS 69), 2023/11/5-10, Portland, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] C2F6/H2混合ガスを用いてラジカル注入型プラズマ励起化学気相堆積法により成長させたカーボンナノウォールのモフォロジー制御2022

    • 著者名/発表者名
      橋本 拓海、近藤 博基、石川 健治、堤 隆嘉、関根 誠、平松 美根男、堀 勝
    • 学会等名
      The 39th Symposium on plasma processing / 34th Symposium on Plasma Science for Materials (SPP39/SPSM34)
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Langmuir probe and Laser Photodetachment Study of Afterglow Phase in Dual RF Frequency Pulsed Plasma Etching Processes Operated with Synchronized DC Bias2022

    • 著者名/発表者名
      Makoto Sekine, Bibhuti B Sahu, Shogo Hattori, Takayoshi Tsutsumi, Nikolay Britun, Kenji Ishikawa, Hirohiko Tanaka, Taku Gohira, Noriyasu Ohno, and Masaru Hori
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] C3H6/H2プラズマを用いた水素化アモルファスカーボン成膜における成膜前駆体と膜特性の相関関係2022

    • 著者名/発表者名
      黒川 純平、光成 正、近藤 博基、堤 隆嘉、関根 誠、石川 健治、堀 勝
    • 学会等名
      第69回応用物理学会春季学術講演会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Study of etching process using CHF3 gas condensed layer in cryogenic region2022

    • 著者名/発表者名
      Kuangda Sun, Chieh-Ju Liao, Shih-Nan Hsiao, Makoto Sekine, Toshiyuki Sasaki, Chihiro Abe, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      1st International Workshop on Plasma Cryogenic Etching Processes (PlaCEP2022)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Ion Induced Damage in Plasma Enhanced Atomic Layer Etching Processing2022

    • 著者名/発表者名
      Takayoshi TSUTSUMI, Hiroki KONDO, Kenji ISHIKAWA, Makoto SEKINE, Masaru HORI
    • 学会等名
      32nd Anuual Meeting on Material Researh Society of Japan (MRS-J)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] C4F8/SF6ガス変調サイクルにおいてバイアス印加位相がエッチング形状に及ぼす影響2022

    • 著者名/発表者名
      吉江 泰斗、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝
    • 学会等名
      第69回応用物理学会春季学術講演会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] 窒化ガリウムの基板昇温時サイクルエッチング特性2022

    • 著者名/発表者名
      南 吏玖、中村 昭平、谷出 敦、石川 健治、堤 隆嘉、近藤 博基、関根 誠、堀 勝
    • 学会等名
      第69回応用物理学会春季学術講演会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Time-resolved measurement of ion energy distribution in pulsed Ar/SF6 plasma2022

    • 著者名/発表者名
      Kazuki TOJI, Kenji ISHIKAWA, Takayoshi TSUTSUMI, Shih nan HSIAO, Makoto SEKINE, Masaru HORI
    • 学会等名
      32nd Anuual Meeting on Material Researh Society of Japan (MRS-J)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Nanoscale Dry Processes for Controlling Atomic Layer Reactions and Fabrication of High-Aspect-Ratio Features2022

    • 著者名/発表者名
      Kenji Ishikawa, Thi-Thuy-Nga Nguye, Takayoshi Tsutsumi, S-N Hsaio, Makoto Sekine, and Masaru Hori
    • 学会等名
      Korean International Semiconductor Conference on Manufacturing Technology 2022 (KISM 2022)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Deposition mechanism of hydrogenated amorphous carbon film by C3H6/H2 mixture gas plasma2022

    • 著者名/発表者名
      Hiroki Kondo, Jumpei Kurokawa, Takayoshi Tsutsumi, Makoto Sekine, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Synthesis, Functionalization, and Three-Dimensional Structuring of Carbon Nanomaterials By Gas-Liquid Interface Plasma2022

    • 著者名/発表者名
      H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori
    • 学会等名
      242nd ECS Meeting
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Nanoscale etching technologies for nitrides and carbides2022

    • 著者名/発表者名
      Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      Second Meeting of the NTC Technical Committee on Emerging Plasma Nanotechnologies
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Highly efficient exosome capture by carbon nanowalls template2022

    • 著者名/発表者名
      Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu, and Masaru Hori
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] In situ monitoring hydrogen fluoride molecular density and its effects on etch selectivity of SiN over SiO2 films with hydrogen-contained fluorocarbon down-flow plasmas2022

    • 著者名/発表者名
      Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      22ND INTERNATIONAL VACUUM CONGRESS IVC-22
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma diagnostics and characteristics of hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas2022

    • 著者名/発表者名
      Shih-Nan Hsiao, Yusuke Imai, Nicolay Britun, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • 学会等名
      International Symposium on Semiconductor Manufacturing (ISSM 2022)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Transient Behaviors of Gaseous and Surface Reactions in a Cycle of Passivation and Etch Steps Using Ar-Based C4F8 and SF6 Plasma2022

    • 著者名/発表者名
      Kenji Ishikawa, Taito Yoshie, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      2022 MRS Spring Meeting & Exhibit
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Machine learning and contribution analysis of radicals to the properties of hydrogenated amorphous carbon films grown by a plasma-enhanced chemical vapor deposition2022

    • 著者名/発表者名
      Hiroki Kondo, Jumpei Kurokawa, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • 学会等名
      22ND INTERNATIONAL VACUUM CONGRESS IVC-22
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Achieving selective etching of SiN and SiO2 over amorphous carbon during CF4/H2 by controlling substrate temperature2022

    • 著者名/発表者名
      Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] 高アスペクトホールエッチングにおけるストライエーションの形成メカニズム2022

    • 著者名/発表者名
      大村 光広、橋本 惇一、足立 昂拓、近藤 祐介、石川 勝朗、阿部 淳子、酒井 伊都子、林 久貴、関根 誠、堀 勝
    • 学会等名
      第69回応用物理学会春季学術講演会
    • 招待講演
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] 原子層エッチングの反応素過程とその設計、制御2021

    • 著者名/発表者名
      石川 健治, Nguyen Thi-Thuy-Nga, 堤 隆嘉, 蕭 世男, 近藤 博基, 関根 誠, 堀 勝
    • 学会等名
      第82回秋季応用物理学会
    • 招待講演
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] C3H6 / H2プラズマを用いたアモルファスカーボン成膜において水素ガス流量比が膜特性に与える影響2021

    • 著者名/発表者名
      黒川 純平, 光成 正, 堤 隆嘉, 近藤 博基, 関根 誠, 石川 健治, 堀 勝
    • 学会等名
      第82回秋季応用物理学会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Optical transmission of carbon nanowalls from ultra-violet region to infra-red region2021

    • 著者名/発表者名
      Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • 学会等名
      Material Research Meeting (MRM 2020)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Random forest model for property control of plasma deposited hydrogenated amorphous carbon films2021

    • 著者名/発表者名
      Junpei Kurokawa, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      42nd International Symposium on Dry Process (DPS)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] 窒化ガリウムのプラズマエッチング中その場分光エリプソメトリー観測2021

    • 著者名/発表者名
      南 吏玖, 石川 健治, 堤 隆嘉, 近藤 博基, 関根 誠, 小田 修, 堀 勝
    • 学会等名
      第82回秋季応用物理学会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] プラズマ活性培養液(PAM)処理グリオーマのメタボロミクス解析2017

    • 著者名/発表者名
      倉家 尚之, 田中 宏昌, 石川 健治, 橋爪 博司 中村 香江, 梶山 広明, 吉川 史隆, 水野 正明, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Dynamic Observations on Morphology of HeLa Cell Membranes in Plasma Activated Medium by high-speed Atomic Force Microscopy2017

    • 著者名/発表者名
      S.Yamaoka, Y.Tonami, H.Hashizume, H.Kondo, K.Ishikawa, K.Takeda, H.Tanaka, M.Sekine, M.Ito, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] State of The Arts in Plasma Medical Science2017

    • 著者名/発表者名
      M.Hori, H.Tanaka, K.Ishikawa, K.Takeda, H.Hashizume, H.Kondo,M.Sekine, M.Mizuno, S.Toyokuni, F.Kikkawa
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Antitumor Effects of Laser-Generated Plasma Activated Medium (LPAM) with and without Catalase2017

    • 著者名/発表者名
      Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Time Evolution of Reactive Oxygen Nitrogen Species in Plasma-Activated Liquids2017

    • 著者名/発表者名
      Timothy R. Brubaker, K.Ishikawa, K.Takeda, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 高速原子間力顕微鏡を用いたプラズマ活性培養液内の 活性酸素窒素種による支持脂質二重膜変化の解析2017

    • 著者名/発表者名
      戸波卓也, 倉家尚之, 橋爪博司, 近藤博基, 石川健治, 竹田圭吾, 田中宏昌, 関根誠, 伊藤昌文, 手老龍吾, 堀 勝
    • 学会等名
      第34回 プラズマプロセシング研究会/第29回 プラズマ材料科学シンポジウム
    • 発表場所
      北海道大学・学術交流会館
    • 年月日
      2017-01-16
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Intracellular Changes of Reactive Oxygen and Nitrogen Species in HeLa Cells Induced by Plasma-Activated Medium2017

    • 著者名/発表者名
      R.Furuta, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Anti-Tumor Effects of Plasma-Activated Medium Which Contains Fullerenol2017

    • 著者名/発表者名
      D.Kanno, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性培養液の抗腫瘍効果の増強2017

    • 著者名/発表者名
      細井 祐吾,古田 凌, 倉家 尚之, 石川 健治, 橋爪 博司, 田中 宏昌, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Interaction of Plasma with Cells for Agriculture and Biomedical Applications2017

    • 著者名/発表者名
      M.Hori, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda, H,Hashizume, H.Tanaka, F.Kikkawa, M.Mizuno, M.Ito
    • 学会等名
      AJC-APSE
    • 発表場所
      Nong Lam Univsersity
    • 年月日
      2017-01-11
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] A Mechanism on Egneration of H2O2 and NO2- in Plasma Activated Medium2017

    • 著者名/発表者名
      N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno,K.Takeda, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] フラレノール添加プラズマ活性培養液の抗腫瘍効果2017

    • 著者名/発表者名
      神農 大輝,倉家 尚之,田中 宏昌,石川 健治,橋爪 博司,竹田 圭吾,近藤 博基,関根 誠,堀 勝
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Behavior of OH Radical in Gas-Liquid Interface Region on Ac Excided Non-Equilibrium Atmospheric Pressure Plasma Jet Irradiation to Liquid2017

    • 著者名/発表者名
      R. Kuramashi, K.Takeda, K.Ishikawa, H.tanaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Enhancement of Cancer Cell Killing Effect of Hydrogen Peroxide by Nitrite Existence in Plasma Activate Medium2017

    • 著者名/発表者名
      N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] マルチプレックスCARSによるプラズマと細胞の相互作用の観察2017

    • 著者名/発表者名
      古田 凌、石川 健治、橋爪 博司、田中 宏昌、竹田 圭吾、太田 貴之、近藤 博基、伊藤 昌文、関根 誠、堀 勝
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Time evolution of reactive oxygen nitrogen species in plasma-activated liquids2017

    • 著者名/発表者名
      Timothy Brubaker, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Antitumor Effect of Culture Medium Irradiated with Non-Equilibrium Atmospheric Pressure Plasmas under Purge of Ambient by N2 and O2 Mixtures2017

    • 著者名/発表者名
      Y.Hosoi, R.Furuta, N.Kurake, K.Ishikawa, H.Hashizume,H.Tanaka, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性培養液処理をしたHeLa細胞の細胞内応答解析2017

    • 著者名/発表者名
      古田 凌, 石川 健治, 橋爪 博司, 田中 宏昌, 竹田 圭吾, 太田 貴之, 近藤 博基, 伊藤 昌文, 関根 誠, 堀 勝
    • 学会等名
      第34回 プラズマプロセシング研究会/第29回 プラズマ材料科学シンポジウム
    • 発表場所
      北海道大学・学術交流会館
    • 年月日
      2017-01-16
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] レーザー誘起プラズマ活性培養液(LPAM)内活性種生成と抗腫瘍効果2017

    • 著者名/発表者名
      黒川幸宏,倉家尚之,竹田圭吾,石川健治,橋爪博司, 田中宏昌,近藤博基,関根誠,堀勝
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Elucidation of the Effects of Plasma-Activated Medium on Supported Lipid Bilayers by employing High-Speed Atomic Force Microscopy2017

    • 著者名/発表者名
      T.Tonami, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of gas- and liquid-phase reactive species generated by AC excited atmospheric pressure Ar Plasma2016

    • 著者名/発表者名
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Antitumor effect of Plasma-Activated-Medium (PAM) added with scavengers2016

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Dynamic behavior of HeLa cells in plasma-activated medium2016

    • 著者名/発表者名
      R.Furuta, H.hashizume, K.Ishikawa, H.Tanaka, K.Takeda, T. Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] A Novel Controlling Method of Proliferation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulation2016

    • 著者名/発表者名
      Suiki Tanaka, Tomonori Ichikawa, Hiroki Kondo, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • 学会等名
      The 26th annual meeting of MRS-J
    • 発表場所
      Yokohama, Japan
    • 年月日
      2016-12-19
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Atomic oxygen behavior at downstream of AC excited atmospheric pressure He plasma jet2016

    • 著者名/発表者名
      Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • 学会等名
      69th Annual Gaseous Electronics Conference
    • 発表場所
      Bochum, Germany
    • 年月日
      2016-10-10
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Particulates generation in the plasma activated medium (PAM)2016

    • 著者名/発表者名
      N.Kurake, H.Tanaka, K.Ishikawa, H.hashizume, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Behaviors of OH radical generated by irradiation of AC excited atmospheric pressure Ar jet to medium2016

    • 著者名/発表者名
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M. Hori
    • 学会等名
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • 発表場所
      Inuyama, Japan
    • 年月日
      2016-06-26
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Gas-Phase Diagnostics of AC Excited Non-equilibrium Atmospheric Pressure Plasma Jet for Biomedical Application2016

    • 著者名/発表者名
      T. Kumakura, K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, Y. Nakai, M. Sekine, M. Hori
    • 学会等名
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-activated Medium (PAM) and Metabolic Analysis of Glioblastoma (U251SP)2016

    • 著者名/発表者名
      Kenji Ishikawa, Naoyuki Kurake, Hiroshi Hashizume, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Shinya Toyokuni, and Masaru Hori
    • 学会等名
      The 26th annual meeting of MRS-J
    • 発表場所
      Yokohama, Japan
    • 年月日
      2016-12-19
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Metabolic profiles on glioblastoma (U251SP) modified in plasma-activated medium (PAM) cultivation2016

    • 著者名/発表者名
      K.Ishikawa, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, K.Nakamura, H.Kajiyama, H.Kondo, M.Sekine, M.Kato, M.Mizuno, F.Kikkawa, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性培養液(PAM)内活性酸素・窒素種の解析2016

    • 著者名/発表者名
      倉家尚之、田中宏昌、石川健治、橋爪博司、中村香江、梶山広明、吉川史隆、近藤隆、水野正明、竹田圭吾、近藤博基、関根誠、堀勝
    • 学会等名
      第63回応用物理学会春季学術講演会
    • 発表場所
      東京工業大学 大岡山キャンパス
    • 年月日
      2016-03-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Generation of Reactive Species In Medium Irradiated laser-Induced-Plasmas2016

    • 著者名/発表者名
      Y.Kurokawa, N.Kurake, K.takeda, K.Ishikawa, H.Hashizume, H.tnaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      AVS 63rd International Symposium & Exhibition
    • 発表場所
      Nashville, Tennessee, US
    • 年月日
      2016-11-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Behaviors of gas- and liquid-phase reactive oxygen species generated by AC excited atmospheric pressure He plasma2016

    • 著者名/発表者名
      K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, Y. Nakai, M. Sekine, M. Hori
    • 学会等名
      The Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications
    • 発表場所
      Eastin Tan Hotel, Chiang Mai, Thailand,
    • 年月日
      2016-02-22
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Generation of active species in laser-induced-plasma activated medium2016

    • 著者名/発表者名
      Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Molecular vibrational imaging of plasma-induced biological samples2016

    • 著者名/発表者名
      R.Furuta, H.Hashizume, K.Ishikawa, H.Tanaka, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • 学会等名
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • 発表場所
      Inuyama, Japan
    • 年月日
      2016-06-26
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性培養液中のHeLa細胞の動的観察2016

    • 著者名/発表者名
      古田 凌、橋爪博司、石川健治、田中宏昌、竹田圭吾、太田貴之、近藤博基、関根誠、堀勝
    • 学会等名
      第63回応用物理学会春季学術講演会
    • 発表場所
      東京工業大学 大岡山キャンパス
    • 年月日
      2016-03-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of activated species generated by non-equilibrium atmospheric pressure plasmas for plasma biomedical applications2016

    • 著者名/発表者名
      K.Ishikawa, K.Takeda, H. Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • 発表場所
      Inuyama, Japan
    • 年月日
      2016-06-26
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Impact of Synergism of nitrate and hydrogen Preroxide on cell survivals in plasma-activated-medium(PAM)2016

    • 著者名/発表者名
      N. Kurake, H. Tanaka, K. Ishikawa, K. Nakamura, H. Kajiyama, F. Kikkawa, T. Kondo, M. Mizuno, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      43rd IEEE International Conference on Plasma Science
    • 発表場所
      Banff, Alberta, Canada
    • 年月日
      2016-06-19
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 高速原子間力顕微鏡を用いたプラズマ活性培養液による脂質二重膜の形状変化の解析(Ⅱ)2016

    • 著者名/発表者名
      戸波卓也、倉家尚之、橋爪博司、近藤博基、石川健治、竹田圭吾、田中宏昌、関根誠、伊藤昌文、手老龍吾、堀勝
    • 学会等名
      第63回応用物理学会春季学術講演会
    • 発表場所
      東京工業大学 大岡山キャンパス
    • 年月日
      2016-03-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Gas flow effect on transport of O(3Pj) atoms in ac power excited atmospheric pressure O2/Ar Plasma jet2016

    • 著者名/発表者名
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori
    • 学会等名
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • 発表場所
      Inuyama, Japan
    • 年月日
      2016-06-26
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma induced reactions on budding yeasts observed by multiplex coherent anti-Stokes Raman scattering (CARS)2016

    • 著者名/発表者名
      Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] レーザー誘起プラズマによる培養液中の活性種生成と細胞応答2016

    • 著者名/発表者名
      黒川幸宏、倉家尚之、竹田圭吾、石川健治、橋爪博司、田中宏昌、近藤博基、関根誠、堀勝
    • 学会等名
      第77回応用物理学会秋季学術講演会
    • 発表場所
      朱鷺メッセ(新潟県新潟市)
    • 年月日
      2016-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Latent Chemistry in Plasma activated medium (PAM)2016

    • 著者名/発表者名
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Hiroko Mizuno, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
    • 学会等名
      20th International Vacuum congress IVC-20
    • 発表場所
      Busan, Korea
    • 年月日
      2016-08-21
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] AC励起非平衡大気圧Arプラズマジェットによる活性種の生成機構2015

    • 著者名/発表者名
      竹田圭吾、梁思潔、熊倉匠、石川健治、田中宏昌、関根誠、堀勝
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Low-Damage Etching Technology for Nitride Semiconductor Devices2015

    • 著者名/発表者名
      Makoto Sekine
    • 学会等名
      Plasma Science and Technology, AVS 62nd International Symposium & Exhibition
    • 発表場所
      the San Jose Convention Center, San Jose, CA
    • 年月日
      2015-10-21
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] 医療・バイオ応用に向けたAC励起非平衡大気圧Heプラズマジェットの気相診断2015

    • 著者名/発表者名
      熊倉匠,竹田圭吾,石川健治,田中宏昌,近藤博基,加納浩之,中井義浩,関根誠,堀勝
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 大気圧プラズマ活性培養液の抗腫瘍効果作用機構の解析2015

    • 著者名/発表者名
      倉家 尚之、田中 宏昌、石川 健治、中村 香江、梶山 広明、吉川 史隆、近藤 隆、水野 正明、竹田 圭吾、近藤 博基、関根 誠、堀 勝
    • 学会等名
      第62回応用物理学会春季学術講演会
    • 発表場所
      神奈川
    • 年月日
      2015-03-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 高速液中原子間力顕微鏡を用いたプラズマ活性培養液による脂質二重膜の形状変化の解析2015

    • 著者名/発表者名
      戸波卓也, 倉家尚之, 橋爪博司, 近藤博基, 石川健治, 竹田圭吾, 田中宏昌, 関根 誠, 堀勝, 伊藤昌文, 手老龍吾
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of AC Excited Atmospheric Pressure He Plasma Jet With Vacuum Ultraviolet Absorption Spectroscopy2015

    • 著者名/発表者名
      Masaru Hori, Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine
    • 学会等名
      10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] O Atom Density at Downstream of a High Density Atmospheric Pressure Plasma Source2015

    • 著者名/発表者名
      Masaru Hori, Takumi Kumakura, Keigo Taked, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine
    • 学会等名
      The Joint Symposium of the 9th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology and the 28th Symposium on Plasma Science for Materials
    • 発表場所
      Nagasaki University, Japan
    • 年月日
      2015-12-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-Activated-Medium(PAM)内酸窒化活性種生成機構2015

    • 著者名/発表者名
      倉家尚之, 田中宏昌, 石川健治, 中村香江, 梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基, 関根誠, 堀勝
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Multiplex Coherent Anti-Stokes Raman Scattering Microscopic Observation Of Plasma-induced Budding Yeast2015

    • 著者名/発表者名
      Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori
    • 学会等名
      10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 非平衡大気圧プラズマ照射培養液中の酸窒化活性種の計測と抗腫瘍効果2015

    • 著者名/発表者名
      石川健治、倉家尚之、田中宏昌、近藤隆、水野寛子、橋爪博司、大沼章子、加藤昌志、中村香江、梶山広明、吉川史隆、水野正明、竹田圭吾、関根誠、堀勝
    • 学会等名
      第68回日本酸化ストレス学会学術集会
    • 発表場所
      かごしま県民交流センター
    • 年月日
      2015-06-11
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effect of Ambient Air on Reactive Species Generation in Atmospheric Pressure Ar Plasma Jet2015

    • 著者名/発表者名
      Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • 学会等名
      10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Interaction of AC excited Atmospheric Pressure Ar Plasma Jet with Medium2015

    • 著者名/発表者名
      Keigo Takeda, Sijie Liang, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • 学会等名
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • 発表場所
      Hawaii Convention Center, Hawaii, USA
    • 年月日
      2015-10-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] マルチプレックスコヒーレントアンチストークスラマン散乱(CARS)顕微鏡を用いたプラズマ誘起生体反応機構の解明2015

    • 著者名/発表者名
      古田 凌、野村 多加博、橋爪 博司、竹田 圭吾、近藤 博基、石川 健治、太田 貴之、伊藤 昌文、関根 誠、堀 勝
    • 学会等名
      第62回応用物理学会春季学術講演会
    • 発表場所
      神奈川
    • 年月日
      2015-03-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effects of Plasma-Activated Medium on Surface Morphologies of Lipid Bilayers2015

    • 著者名/発表者名
      Takuya Tonami, Naoyuki Kurake, Hiroshi Hashizume, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masaru Hori, Masafumi Ito, Ryugo Tero
    • 学会等名
      10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] AC励起大気圧Arプラズマの培養液照射中における気相活性種の計測2015

    • 著者名/発表者名
      梁 思潔、竹田 圭吾、熊倉 匠、近藤 博基、石川 健治、関根 誠、堀 勝
    • 学会等名
      第62回応用物理学会春季学術講演会
    • 発表場所
      神奈川
    • 年月日
      2015-03-11
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Hydrogen peroxide and nitrous ion generated in culture media by irradiation of non-equilibrium atmospheric pressure plasmas2015

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Reactive species in atmospheric pressure Ar plasma and their effect on yeast cells2015

    • 著者名/発表者名
      Makoto Sekine
    • 学会等名
      International Workshop for Bio & Medical Applications of Plasma Science
    • 発表場所
      Josef Stefan Institute, Slovenia
    • 年月日
      2015-09-29
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] Plasma-Surface Interactions Analyzed by Vibrational Sum-Frequency Generation (SFG)2015

    • 著者名/発表者名
      Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya
    • 年月日
      2015-03-27
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of AC excited Atmospheric Pressure Ar Plasma Jet with Laser Scattering spectroscopy2015

    • 著者名/発表者名
      K. Takeda, F. Jia, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      17th International Symposium on Laser-Aided Plasma Diagnostics
    • 発表場所
      Gateaux Kingdom Sapporo, Hokkaido, Japan
    • 年月日
      2015-09-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Chemical Analysis of Antitumor Effect of Plasma-Activated-Medium2015

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiriaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya
    • 年月日
      2015-03-28
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Antitumor effect of synergistic contribution of nitrite and hydrogen peroxide in the Plasma-Activated-Medium2015

    • 著者名/発表者名
      Naoyuki kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • 発表場所
      Hawaii Convention Center, Hawaii, USA
    • 年月日
      2015-10-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron Spin Resonance Study of Plasma-Liquid Medium Interactions2014

    • 著者名/発表者名
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka kikkawa, Masaaki Mizono, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • 発表場所
      Nara
    • 年月日
      2014-05-23
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 大気圧プラズマ照射培養液の抗腫瘍効果作用機序の解析2014

    • 著者名/発表者名
      倉家尚之, 田中宏昌,石川健治,中村香江,梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基,関根誠, 堀勝
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      北海道
    • 年月日
      2014-09-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet2014

    • 著者名/発表者名
      S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori
    • 学会等名
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • 発表場所
      Meijo University, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma Induced Surface Roughness of Polymeric Materials2014

    • 著者名/発表者名
      K. Ishikawa (Invited), T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics
    • 発表場所
      Fukuoka Japan
    • 招待講演
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] Plasma-Biological Surface Interaction for Food Hygiene2014

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      E-MRS and MRS-J Joint Symposium, Frontier of Nano-Materials Bed on Advanced Plasma Technologies
    • 発表場所
      Yokohama
    • 年月日
      2014-12-11
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] DAWING OF PLASMA LIFE SCIENCES ~ DIAGNOSTICS AND CONTROL OF REACTIVE SPECIES IN PLASMA BIO PROCESSING ~2014

    • 著者名/発表者名
      M. Hori, M. Tanaka, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, F. Kikkawa, K. Nakamura, H. kajiyama and M. Mizuno
    • 学会等名
      The 4th International Symposium for Plasma Biosciences
    • 発表場所
      Delpino Resort, Sokcho, Gangwon-do, Korea
    • 年月日
      2014-08-18
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析2014

    • 著者名/発表者名
      石川健治, 倉家尚之, 田中宏昌, 中村香江, 近藤隆, 梶山広明, 吉川史隆, 水野正明, 竹田圭吾,近藤博基, 関根誠, 堀勝
    • 学会等名
      第67回日本酸化ストレス学会学術集会
    • 発表場所
      京都
    • 年月日
      2014-09-04
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] The Effect of Neutral Species on Modification of the A549 andSaos-2 Growth and Proliferation2014

    • 著者名/発表者名
      Satomi Tajima, Hiroshi Hashizume, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • 発表場所
      Nara
    • 年月日
      2014-05-23
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron Spin Resonance Study of Plasma-Activated-Medium2014

    • 著者名/発表者名
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-17
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of AC power excited non-equilibrium atmospheric pressure plasma jet with vacuum ultraviolet spectroscopy2014

    • 著者名/発表者名
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, Y. Higashijima, M. Sekine, M. Hori
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      北海道
    • 年月日
      2014-09-17
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma nano-interface with organic materials for surface-roughness formation2014

    • 著者名/発表者名
      M. Sekine (Invited), Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori
    • 学会等名
      The 9th EU-Japan Joint Symposium on Plasma Processing
    • 発表場所
      Bohinj Park ECO Hotel, Bohinjska Bistrica, Slovenia
    • 招待講演
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] プラズマ誘起バイオマテリアルの表面反応とプラズマ医療への展開2014

    • 著者名/発表者名
      石川健治,田中宏昌,橋爪博司,竹田圭吾,近藤博基,関根誠,堀勝
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      札幌
    • 年月日
      2014-09-18
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of reactive species on bio-liquid treatment with AC power excited non-equilibrium atmospheric pressure Ar plasma2014

    • 著者名/発表者名
      Sijie Liang, Takumi Kumakura, Keigo Takeda, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      北海道
    • 年月日
      2014-09-17
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Characteristics of AC excited non-equilibrium atmospheric pressure helium plasma jet for medical application2014

    • 著者名/発表者名
      Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Ultra high density atmospheric pressure plasma source2014

    • 著者名/発表者名
      Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The International Symposium on Plasma-Nano Materials and Processes
    • 発表場所
      Seoul, Korea
    • 年月日
      2014-04-03
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron Spin Resonance Study of Plasma Activated Media (PAM)2014

    • 著者名/発表者名
      M. Hori, N. Kurake, K. Ishikawa, H. Tanaka, T. Kondo, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, K. Takeda, H. Kondo and M. Sekine
    • 学会等名
      2014 Material Research Society Fall Meeting & Exhibit
    • 発表場所
      Boston, USA
    • 年月日
      2014-12-03
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron spin resonance study of radical generation during non-thermal plasma blood coagulation2014

    • 著者名/発表者名
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      17th Biennial Meeting of Society for Free Radical Research International
    • 発表場所
      Kyoto International Conference Center, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas2014

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-23
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 非平衡大気圧プラズマによるアルテミアの成長への影響に関する研究2014

    • 著者名/発表者名
      熊倉匠, 竹田圭吾, 田中宏昌, 秋山真一, 石川健治, 近藤博基, 関根誠, 堀勝
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      北海道
    • 年月日
      2014-09-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma Induced Surface Roughness of ArF Photoresist Examined by Plasma-Beam Processes2013

    • 著者名/発表者名
      T. Takeuchi, Y. Zhang, K. Ishikawa, M. Sekine, Y. Setsuhara, K. Takeda, H. Kondo, M. Hori
    • 学会等名
      AVS 60th International Symposium & Exhibition
    • 発表場所
      Long Beach, California, USA
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] Electromagnetic Simulation of Long-Slotted Waveguide Antenna for Production of Meter-Scale Plasma under Atmospheric Pressure2013

    • 著者名/発表者名
      H. Suzuki, S. Nakano, H. Itoh, M. Sekine, M. Hori, H. Toyada
    • 学会等名
      66th Annual Gaseous Electronic Conference
    • 発表場所
      Westin Hotel Princeton, USA
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Measurement of actived species generated by AC power excited non-equilibrium atmospheric pressure Ar plasma jet with Air engulfment2013

    • 著者名/発表者名
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori
    • 学会等名
      66th Annual Gaseous Electronic Conference
    • 発表場所
      Westin Hotel Princeton, USA
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Advanced Surface Engineering on Material Processing Employing Ultrahigh Density Atmospheric Pressure Plasma2013

    • 著者名/発表者名
      M. Hori, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine
    • 学会等名
      The 1st International Conference on Surface Engineering
    • 発表場所
      Busan, Korea
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Cell-culturing scaffold application of carbon nanowall (CNW)2013

    • 著者名/発表者名
      M. Hori, H. Watanabe, H. Kondo, Y. Okamoto, M. Hiramatsu, M. Sekine, Y. Baba
    • 学会等名
      XXXI International Conference on Phenomena in Ionized Gases (ICPIG)
    • 発表場所
      Granada Congress Centre, Spain
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Rapid precise measurements of film-covered-substrate temperatures during plasma processes2013

    • 著者名/発表者名
      M. Ito, T. Tsutsumi, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      The 1st International Conference on Surface Engineering
    • 発表場所
      Busan, Korea
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] ミドリカビ胞子からの実時間その場ESR信号観察2013

    • 著者名/発表者名
      石川健治、水野寛子、田中宏昌、橋爪博司、太田貴之、伊藤昌文、竹田圭吾、近藤博基、関根 誠、堀 勝
    • 学会等名
      第66回日本酸化ストレス学会学術集会
    • 発表場所
      WINCあいち
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] In-situ ESR measurements for Plasma Materials Interractions2013

    • 著者名/発表者名
      K. Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, and M. Hori
    • 学会等名
      9th Asian-european International Conference On Plasma Surface Enginnering
    • 発表場所
      Ramada Plaza Jeju Hotel, Korea
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] An Inhibition Mechanism for Surface Roughening of Photoresist During Plasma Etching Process with Plasma Cure2013

    • 著者名/発表者名
      Yan Zhang, Takuya Takeuchi, Hiroki Nagano, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Hiroki Kondo, Masaru Hori
    • 学会等名
      第74回応用物理学会秋季学術講演会
    • 発表場所
      Kyoto Japan
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] Obstacles to inter-industry collaboration in product development : automobile and semiconductor industries in Japan2009

    • 著者名/発表者名
      Kanji Takeuchi, Makoto Sekine, Shuzo Fujimura
    • 学会等名
      EURO MOT 2009
    • 発表場所
      Glasgow, Scotland
    • 年月日
      2009-09-06
    • データソース
      KAKENHI-PROJECT-20330079
  • [学会発表] 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析

    • 著者名/発表者名
      倉家尚之、田中宏昌、石川健治、中村香江、梶山広明、吉川史隆、近藤 隆、水野正明、竹田圭吾、近藤博基、関根 誠、堀 勝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Behaviors of Activated Species in SiH4/H2 Plasma for μc-Si:H Thin Film Deposition

    • 著者名/発表者名
      K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • 発表場所
      Fukuoka Convention Center & Nishijin Plaza, Japan
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(5)

    • 著者名/発表者名
      王 浩然、石川健治、堀邉英夫、竹田圭吾、近藤博基、関根 誠、堀 勝
    • 学会等名
      平成25年秋季第74回応用物理学会学術講演会
    • 発表場所
      同志社大学京田辺キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron spin resonance analysis of plasma-biological material interactions in atmospheric pressure plasma

    • 著者名/発表者名
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      International Workshop on 8th ICRP/31th SPP
    • 発表場所
      Fukuoka Convention Center, Japan
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Modifications of Photoresists Surface on Photon Irradiations in HBr Plasmas

    • 著者名/発表者名
      Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • 発表場所
      Gunsan, South Korea
    • 年月日
      2015-07-01 – 2015-07-02
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] Interactions between Plasma and Biological Material: Analysis by Electron spin resonance (ESR) technique

    • 著者名/発表者名
      Ishikawa Kenji, Hiromasa Tanaka, Keigo Takeda, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      Plasma Processing Science (Gordon Research Conference)
    • 発表場所
      Smithfield, RI, USA
    • 年月日
      2014-07-27 – 2014-08-01
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effect of gas flow on spatial distribution of O (3Pj) atoms in ac power excited on-equilibrium atmospheric pressure O2/Ar plasma jet

    • 著者名/発表者名
      K. Takeda, F. Jia, K. Ishikawa, H. Kano, M. Sekine, M. Hori
    • 学会等名
      8th International Conference on Reactive Plasmas
    • 発表場所
      Fukuoka Convention Center, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Chemical modification and nano-bio applications of carbon nanowalls

    • 著者名/発表者名
      H. Kondo, M. Hiramatsu, M. Sekine, M. Hori
    • 学会等名
      17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • 発表場所
      Sungkyunkwan University, Korea
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] AC 励起大気圧非平衡 Ar プラズマ生成における 大気ガス巻込みの影響

    • 著者名/発表者名
      梁 思潔、竹田圭吾、近藤博基、加納浩之、石川健治、関根 誠、堀 勝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)
    • 発表場所
      Greifswald, Germany
    • 年月日
      2014-07-15 – 2014-07-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] パルスマイクロ波励起大気圧ラインプラズマの空間分解計測

    • 著者名/発表者名
      鈴木陽香、中野 優、伊藤 仁、関根 誠、堀 勝、豊田浩孝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of AC excited Atmospheric Pressure Plasma Jet with He for Biomedical Applications

    • 著者名/発表者名
      M. Hori, K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, H. Kondo, M. Sekine, Y. Nakai
    • 学会等名
      67th Gaseous Electronics Conference
    • 発表場所
      Raleigh, North Carolina, USA
    • 年月日
      2014-11-02 – 2014-11-07
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] AC励起非平衡大気圧プラズマの放電機構の解明に向けた時空間計測

    • 著者名/発表者名
      梁 思潔、孫 昿達、竹田圭吾、近藤博基、加納浩之、石川健治、関根 誠、堀 勝
    • 学会等名
      平成25年秋季第74回応用物理学会学術講演会
    • 発表場所
      同志社大学京田辺キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 医療・バイオ用AC励起大気圧非平衡プラズマジェットの気相診断

    • 著者名/発表者名
      熊倉 匠、竹田圭吾、石川健治、田中宏昌、近藤博基、加納浩之、中井義浩、関根 誠,、堀 勝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究

    • 著者名/発表者名
      石川健治、鷲見直也、河野昭彦、堀邊英夫、竹田圭吾、近藤博基、関根 誠、堀 勝
    • 学会等名
      平成25年秋季第74回応用物理学会学術講演会
    • 発表場所
      同志社大学京田辺キャンパス
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Vacuum ultraviolet spectroscopic analysis of AC excited non-equilibrium atmospheric pressure Ar plasma jet

    • 著者名/発表者名
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      67th Gaseous Electronics Conference
    • 発表場所
      Raleigh, North Carolina, USA
    • 年月日
      2014-11-02 – 2014-11-07
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Agricultural Application

    • 著者名/発表者名
      Takumi Kumakura, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, Masaru Hori
    • 学会等名
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • 発表場所
      Gunsan, Korea
    • 年月日
      2014-07-06 – 2014-07-07
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ照射した食肉血液成分の電子スピン共鳴解析

    • 著者名/発表者名
      坂倉崚亮、石川健治、田中宏昌、橋爪博司、太田貴之、伊藤昌文、竹田圭吾、近藤博基、関根 誠、堀 勝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Elucidation of plasma induced reaction mechanism for living cells using multiplex coherent anti-Stokes Raman scattering (CARS) microscopy

    • 著者名/発表者名
      Ryo Furuta, Takahiro Nomura, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori
    • 学会等名
      The 2nd International Workshop on Plasma for Cancer Treatment
    • 発表場所
      Nagoya
    • 年月日
      2015-03-16 – 2015-03-17
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] AC励起非平衡大気圧Arプラズマジェットにおける活性種生成への大気巻き込みの影響

    • 著者名/発表者名
      竹田圭吾、石川健治、田中宏昌、加納浩之、関根 誠、堀 勝
    • 学会等名
      平成25年秋季第74回応用物理学会学術講演会
    • 発表場所
      同志社大学京田辺キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] メートル級マイクロ波大気圧ラインプラズマの均一性評価

    • 著者名/発表者名
      中野 優、鈴木陽香、伊藤 仁、関根 誠、堀 勝、豊田浩孝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma Induced Roughness Formation on Photoresist Examined by HBr Plasma-Beam Etching

    • 著者名/発表者名
      Yan Zhang, Makoto Sekine, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Masaru Hori
    • 学会等名
      61st American Vacuum Symposium
    • 発表場所
      Baltimore, MD USA
    • 年月日
      2015-11-10 – 2015-11-15
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] 新規アンテナ構造を用いたメートル級マイクロ波大気圧ラインプラズマの生成

    • 著者名/発表者名
      鈴木陽香、中野 優、伊藤 仁、関根 誠、堀 勝、豊田浩孝
    • 学会等名
      平成25年秋季第74回応用物理学会学術講演会
    • 発表場所
      同志社大学京田辺キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma Induced Surface Roughness of Polymeric Materials

    • 著者名/発表者名
      K. Ishikawa, T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • 発表場所
      Fukuoka Convention Center & Nishijin Plaza, Japan
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas

    • 著者名/発表者名
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, and M. Hori
    • 学会等名
      8th International Conference on Reactive Plasmas
    • 発表場所
      Fukuoka Convention Center, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effects of long-lived reactive species in the Plasma-Activated-Medium

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 2nd International Workshop on Plasma for Cancer Treatment
    • 発表場所
      Nagoya
    • 年月日
      2015-03-16 – 2015-03-17
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • 発表場所
      Gunsan, Korea
    • 年月日
      2014-07-06 – 2014-07-07
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of activated species generated by AC excited non-equilibrium atmospheric pressure Ar plasma jet for plasma medical and bio applications

    • 著者名/発表者名
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori
    • 学会等名
      International Workshop on 8th ICRP/31th SPP
    • 発表場所
      Fukuoka Convention Center, Japan
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Roughness formation on photoresist during etching examined by HBr plasma-beam

    • 著者名/発表者名
      Makoto Sekine, Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, and Masaru Hori
    • 学会等名
      Gaseous Electronics Conference (GEC)
    • 発表場所
      Raleigh NC, USA
    • 年月日
      2015-11-03 – 2015-11-07
    • データソース
      KAKENHI-PROJECT-25286080
  • 1.  石川 健治 (60417384)
    共同の研究課題数: 3件
    共同の研究成果数: 188件
  • 2.  竹田 圭吾 (00377863)
    共同の研究課題数: 2件
    共同の研究成果数: 121件
  • 3.  近藤 博基 (50345930)
    共同の研究課題数: 2件
    共同の研究成果数: 119件
  • 4.  藤村 修三 (90377044)
    共同の研究課題数: 1件
    共同の研究成果数: 1件
  • 5.  尾形 わかは (90275313)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 6.  林 俊雄 (30519591)
    共同の研究課題数: 1件
    共同の研究成果数: 4件
  • 7.  堀 勝 (80242824)
    共同の研究課題数: 1件
    共同の研究成果数: 127件
  • 8.  太田 貴之 (10379612)
    共同の研究課題数: 1件
    共同の研究成果数: 24件
  • 9.  田中 宏昌 (00508129)
    共同の研究課題数: 1件
    共同の研究成果数: 86件
  • 10.  矢嶋 伊知朗 (80469022)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 11.  飯田 真智子 (60465515)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 12.  小又 尉広 (20644371)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 13.  鈴置 保雄 (10115587)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 14.  加藤 昌志 (10281073)
    共同の研究課題数: 1件
    共同の研究成果数: 4件
  • 15.  秋山 真一 (20500010)
    共同の研究課題数: 1件
    共同の研究成果数: 1件
  • 16.  平松 美根男 (50199098)
    共同の研究課題数: 1件
    共同の研究成果数: 10件
  • 17.  堤 隆嘉 (50756137)
    共同の研究課題数: 1件
    共同の研究成果数: 45件
  • 18.  橋爪 博司
    共同の研究課題数: 1件
    共同の研究成果数: 51件
  • 19.  近藤 隆
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 20.  節原 裕一
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 21.  平田 孝道
    共同の研究課題数: 0件
    共同の研究成果数: 1件

URL: 

この研究者とORCID iDの連携を行いますか?
※ この処理は、研究者本人だけが実行できます。

Are you sure that you want to link your ORCID iD to your KAKEN Researcher profile?
* This action can be performed only by the researcher himself/herself who is listed on the KAKEN Researcher’s page. Are you sure that this KAKEN Researcher’s page is your page?

サービス概要 検索マニュアル よくある質問 お知らせ 利用規程 科研費による研究の帰属

Powered by NII kakenhi