• Search Research Projects
  • Search Researchers
  • How to Use
  1. Back to previous page

YOSHIKAWA Nobuyuki  吉川 信行

… Alternative Names

吉川 信行  ヨシカワ ノブユキ

Less
Researcher Number 70202398
Other IDs
  • ORCIDhttps://orcid.org/0000-0001-6191-6715
External Links
Affiliation (Current) 2025: 横浜国立大学, 先端科学高等研究院, 教授
Affiliation (based on the past Project Information) *help 2021 – 2024: 横浜国立大学, 先端科学高等研究院, 教授
2016 – 2023: 横浜国立大学, 大学院工学研究院, 教授
2012 – 2015: 横浜国立大学, 工学(系)研究科(研究院), 教授
2013: 横浜国立大学, 大学院工学研究院, 教授
2009 – 2013: Yokohama National University, 工学研究院, 教授 … More
2010 – 2011: 横浜国立大学, 大学院・工学研究院知的構造の創生部門, 教授
2009: Yokohama National University, 大学院・工学研究院, 教授
2008: Yokohama National University, 大学院・工学(系)研究科(研究院), 教授
2007 – 2008: Yokohama National University, 大学院・工学研究院, 教授
2006: 横浜国立大学, 大学院工学研究院, 教授
2001 – 2002: 横浜国立大学, 大学院・工学研究院, 助教授
1994 – 2000: 横浜国立大学, 工学部, 助教授
1991: 横浜国立大学, 工学部, 講師
1989 – 1990: 横浜国立大学, 工学部, 助手 Less
Review Section/Research Field
Principal Investigator
Electron device/Electronic equipment / Medium-sized Section 21:Electrical and electronic engineering and related fields / Science and Engineering / Broad Section C / 電子デバイス・機器工学
Except Principal Investigator
Medium-sized Section 21:Electrical and electronic engineering and related fields / Broad Section J / Basic Section 30020:Optical engineering and photon science-related / Science and Engineering / 電子デバイス・機器工学 / Measurement engineering
Keywords
Principal Investigator
低消費電力 / SFQ / 集積回路 / 超伝導回路 / 超伝導集積回路 / 単一磁束量子 / デバイス設計・製造プロセス / 超高速情報処理 / 先端機能デバイス / 超伝導材料・素子 … More / ジョセフソン素子 / 単一磁束量子回路 / セルベース設計法 / 超伝導エレクトロニクス / 可逆回路 / 断熱回路 / ジョセフソン集積回路 / SFQ回路 / MgB2接合 / NbN接合 / サブテラヘルツ集積回路 / 単一磁束量子論理回路 / 信号処理回路 / 局在電磁波 / マイクロプロセッサ / BDD / Josephson素子 / 二分決定グラフ / 非同期システム / 論理回路 / SET効果 / クーロンブロッケイド / AQFP / 電子デバイス・機器 / 逆問題 / microprocessor / superconductivity electronics / binary decision diagram / integrated circuit / Josephson device / superconductor / SFO circuits / single flux quantum / 超伝導体 / 量子磁束パラメトロン / 低消費エネルギー / 断熱的回路 / ジョセフソン / デバイス設計/製造プロセス / QFP / 断熱論理回路 / 超伝導デバイス / 位相量子 / インダクタンス / 超高速論理回路 / ナノデバイス / 溝一磁束量子論理回路 / 演算回路 / サブテラヘルツ / ジョセフソン接合 / FFT / 非同期方式 / 高速ディジタル回路 / 磁束量子 / RSFQ論理回路 / 超伝導 / RSFQ回路 / 量子ドット / ナノドット / 単電子トランジスタ / 単電子トンネリング / マイクロブリッジ / 単電子デバイス / 微粒子薄膜 / 単一電子トランジスタ / シングルエレクトロミクス … More
Except Principal Investigator
超伝導集積回路 / 極低温 / 集積回路 / 超伝導 / 単一磁束量子 / 超伝導三端子素子 / PQT効果 / 酸化物高温超伝導体 / 量子井戸 / 光インターコネクション / 光変調器 / 超伝導回路 / 超伝導デバイス / 電荷量子効果 / 微粒子超伝導体 / 信頼性 / 宇宙線 / ソフトエラー / 機械学習 / ニューラルネットワーク / 確率動作 / ニューロモルフィック / 断熱回路 / 磁束量子パラメトロン / 確率的動作 / 低電力 / ストカスティックコンピューティング / クロスバーアレイ / 磁束量子 / AQFP / 光インターコネクト / 微小リング共振器 / 半導体 / 磁束量子回路 / パルス駆動回路 / パルス論理 / 半磁束量子回路 / 単一磁束量子回路 / π接合 / 量子化条件 / マトリクスメモリ / 磁性ジョセフソン接合 / 半磁束量子 / logic circuit / single electron / integrated circuit / single electron device / single electron transistor / SET effect / single electronics / Coulomb blockade / 論理回路 / シングルエレクトロントランジスタ / 単一電子トランジスタ / 単電子デバイス / 単電子トランジスタ / SET効果 / シングルエレクトロニクス / クーロンブロッケイド / 超伝導デバイ / 微細加工 / 生体高分子 / 機器分析 / ナノ電子デバイス / 超伝導信号処理 / 超伝導センサ / 分析機器開発 / 飛行時間 / 生体分子 / タンパク質 / ペプチド / 先端計測 / 質量分析 / 超伝導検出器 / 電界効果トランジスタ / 電界効果 / Kosterlitz Thouless効果 Less
  • Research Projects

    (26 results)
  • Research Products

    (1,089 results)
  • Co-Researchers

    (34 People)
  •  Development of foundational technology for reliability assessment of next-generation integrated systems to overcome cosmic ray-induced malfunctions

    • Principal Investigator
      橋本 昌宜
    • Project Period (FY)
      2024 – 2028
    • Research Category
      Grant-in-Aid for Scientific Research (S)
    • Review Section
      Broad Section J
    • Research Institution
      Kyoto University
  •  Creation of Ultra-Low Power High-Density Adiabatic Quantum Flux LogicPrincipal Investigator

    • Principal Investigator
      吉川 信行
    • Project Period (FY)
      2024 – 2026
    • Research Category
      Grant-in-Aid for Scientific Research (A)
    • Review Section
      Medium-sized Section 21:Electrical and electronic engineering and related fields
    • Research Institution
      Yokohama National University
  •  Construction of stochastic superconductor neural networks towards ultra-low-power machine learning

    • Principal Investigator
      竹内 尚輝
    • Project Period (FY)
      2022 – 2026
    • Research Category
      Grant-in-Aid for Scientific Research (A)
    • Review Section
      Medium-sized Section 21:Electrical and electronic engineering and related fields
    • Research Institution
      National Institute of Advanced Industrial Science and Technology
  •  Development of cryogenic ultralow-voltage optical modulator for optical interconnection for superconducting quantum computing

    • Principal Investigator
      荒川 太郎
    • Project Period (FY)
      2021 – 2024
    • Research Category
      Grant-in-Aid for Challenging Research (Pioneering)
    • Review Section
      Medium-sized Section 21:Electrical and electronic engineering and related fields
    • Research Institution
      Yokohama National University
  •  Creation of extremely energy-efficient integrated circuit technology beyond the thermodynamic limit based on reversible quantum flux circuitsPrincipal Investigator

    • Principal Investigator
      吉川 信行
    • Project Period (FY)
      2019 – 2023
    • Research Category
      Grant-in-Aid for Scientific Research (S)
    • Review Section
      Broad Section C
    • Research Institution
      Yokohama National University
  •  Creation of extremely energy-efficient logic circuits beyond the thermodynamic limit based on reversible quantum flux circuitsPrincipal Investigator

    • Principal Investigator
      吉川 信行
    • Project Period (FY)
      2019
    • Research Category
      Grant-in-Aid for Scientific Research (A)
    • Review Section
      Medium-sized Section 21:Electrical and electronic engineering and related fields
    • Research Institution
      Yokohama National University
  •  Research on ultra-low power sub-terahertz superconducting quantum digital systems based on pulse-driven circuits

    • Principal Investigator
      Fujimaki Akira
    • Project Period (FY)
      2018 – 2022
    • Research Category
      Grant-in-Aid for Specially Promoted Research
    • Review Section
      Science and Engineering
    • Research Institution
      Nagoya University
  •  Ultralow-voltage optical modulator for optical interconnection of superconductor integrated circuits

    • Principal Investigator
      Arakawa Taro
    • Project Period (FY)
      2018 – 2020
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Review Section
      Basic Section 30020:Optical engineering and photon science-related
    • Research Institution
      Yokohama National University
  •  Creation of Inverse Problem Computers based on Physically Reversible Logic CircuitsPrincipal Investigator

    • Principal Investigator
      YOSHIKAWA NOBUYUKI
    • Project Period (FY)
      2016 – 2017
    • Research Category
      Grant-in-Aid for Challenging Exploratory Research
    • Research Field
      Electron device/Electronic equipment
    • Research Institution
      Yokohama National University
  •  Study on Adiabatic Single-Flux-Quantum Circuits Operating in the Thermodynamic Energy LimitPrincipal Investigator

    • Principal Investigator
      YOSHIKAWA Nobuyuki
    • Project Period (FY)
      2014 – 2018
    • Research Category
      Grant-in-Aid for Scientific Research (S)
    • Research Field
      Electron device/Electronic equipment
    • Research Institution
      Yokohama National University
  •  Experimental verification of minimum energy limit of computation and its application to reversible computingPrincipal Investigator

    • Principal Investigator
      YOSHIKAWA NOBUYUKI
    • Project Period (FY)
      2013 – 2014
    • Research Category
      Grant-in-Aid for Challenging Exploratory Research
    • Research Field
      Electron device/Electronic equipment
    • Research Institution
      Yokohama National University
  •  Study on Sub-micro W Microprocessors using Adiavatic Single-Flux-Quantum CircuitsPrincipal Investigator

    • Principal Investigator
      YOSHIKAWA Nobuyuki
    • Project Period (FY)
      2010 – 2014
    • Research Category
      Grant-in-Aid for Scientific Research (S)
    • Research Field
      Electron device/Electronic equipment
    • Research Institution
      Yokohama National University
  •  Mass spectrometry for high-mass molecules using superconducting nano-strip line detectors

    • Principal Investigator
      OHKUBO Masataka
    • Project Period (FY)
      2010 – 2013
    • Research Category
      Grant-in-Aid for Scientific Research (A)
    • Research Field
      Measurement engineering
    • Research Institution
      National Institute of Advanced Industrial Science and Technology
  •  Development of SFQ Logic Gates Connectable to Passive Transmission Lines and Their Application to Digital Signal ProcessorsPrincipal Investigator

    • Principal Investigator
      YOSHIKAWA Nobuyuki
    • Project Period (FY)
      2006 – 2009
    • Research Category
      Grant-in-Aid for Scientific Research on Priority Areas
    • Review Section
      Science and Engineering
    • Research Institution
      Yokohama National University
  •  Single-Flux-Quantum Circuits based on Localized Electromagnetic WavesPrincipal Investigator

    • Principal Investigator
      YOSHIKAWA Nobuyuki
    • Project Period (FY)
      2006 – 2009
    • Research Category
      Grant-in-Aid for Scientific Research on Priority Areas
    • Review Section
      Science and Engineering
    • Research Institution
      Yokohama National University
  •  A Study of Nano-Scale, High-Speed Logic Circuits using a Phase QuantumPrincipal Investigator

    • Principal Investigator
      YOSHIKAWA Nobuyuki
    • Project Period (FY)
      2006 – 2008
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Research Field
      Electron device/Electronic equipment
    • Research Institution
      Yokohama National University
  •  非同期式高速単一ボルテックスロジック回路の研究Principal Investigator

    • Principal Investigator
      吉川 信行
    • Project Period (FY)
      2000
    • Research Category
      Grant-in-Aid for Scientific Research on Priority Areas (A)
    • Research Institution
      Yokohama National University
  •  Study on the Novel Single-Quantum Processing System using Single-Flux-Quantum Logic CircuitsPrincipal Investigator

    • Principal Investigator
      YOSHIKAWA Nobuyuki
    • Project Period (FY)
      2000 – 2002
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Research Field
      電子デバイス・機器工学
    • Research Institution
      Yokohama National University
  •  非同期式高速単一ボルテックスロジック回路の研究Principal Investigator

    • Principal Investigator
      吉川 信行
    • Project Period (FY)
      1999
    • Research Category
      Grant-in-Aid for Scientific Research on Priority Areas (A)
    • Research Institution
      Yokohama National University
  •  電荷ソリトン転送型単電子回路の研究Principal Investigator

    • Principal Investigator
      吉川 信行
    • Project Period (FY)
      1998
    • Research Category
      Grant-in-Aid for Scientific Research on Priority Areas (A)
    • Research Institution
      Yokohama National University
  •  非同期式高速単一ボルテックスロジック回路の研究Principal Investigator

    • Principal Investigator
      吉川 信行
    • Project Period (FY)
      1998
    • Research Category
      Grant-in-Aid for Scientific Research on Priority Areas (A)
    • Research Institution
      Yokohama National University
  •  ナノメータ微粒子薄膜ブリッジ接合を用いた単電子デバイスの研究Principal Investigator

    • Principal Investigator
      吉川 信行
    • Project Period (FY)
      1997
    • Research Category
      Grant-in-Aid for Scientific Research on Priority Areas
    • Research Institution
      Yokohama National University
  •  Research on Logic Circuits Using Single-Electron TransistorsPrincipal Investigator

    • Principal Investigator
      SUGAHARA Masanori, 吉川 信行
    • Project Period (FY)
      1994 – 1996
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Research Field
      電子デバイス・機器工学
    • Research Institution
      Yokohama National University
  •  酸化物超伝導体を用いた電荷量子三端子素子の研究

    • Principal Investigator
      菅原 昌敬
    • Project Period (FY)
      1991
    • Research Category
      Grant-in-Aid for Scientific Research on Priority Areas
    • Research Institution
      Yokohama National University
  •  酸化物超伝導体を用いた電荷量子三端子素子の研究

    • Principal Investigator
      菅原 昌敬
    • Project Period (FY)
      1990
    • Research Category
      Grant-in-Aid for Scientific Research on Priority Areas
    • Research Institution
      Yokohama National University
  •  酸化物超伝導体を用いた電荷量子三端素子の研究

    • Principal Investigator
      SUGAHARA Masanori
    • Project Period (FY)
      1989
    • Research Category
      Grant-in-Aid for Scientific Research on Priority Areas
    • Research Institution
      Yokohama National University

All 2024 2023 2022 2021 2020 2019 2018 2017 2016 2015 2014 2013 2012 2011 2010 2009 2008 2007 2006 Other

All Journal Article Presentation Patent

  • [Journal Article] Minimum energy dissipation required for information processing using adiabatic quantum-flux-parametron circuits2024

    • Author(s)
      Yamae Taiki、Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      Journal of Applied Physics

      Volume: 135 Issue: 6 Pages: 063902-063902

    • DOI

      10.1063/5.0187756

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Journal Article] Design and Component Demonstration of an SFQ Complex Event Detector Corresponding to Regular Expressions2023

    • Author(s)
      Suzuki Takuya、Akizuki Kazuma、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 33 Issue: 5 Pages: 1-5

    • DOI

      10.1109/tasc.2023.3241266

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18H05211, KAKENHI-PROJECT-23K22812
  • [Journal Article] Self-Resetting Interface Between Rapid Single-Flux-Quantum and Adiabatic Quantum-Flux-Parametron Circuits2023

    • Author(s)
      Naruse Mikiya、Yoshikawa Nobuyuki、Takeuchi Naoki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 33 Issue: 8 Pages: 1304704-1304704

    • DOI

      10.1109/tasc.2023.3299153

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Journal Article] An Adiabatic Quantum-Flux-Parametron 8-bit Ripple Carry Adder Using Delay-Line Clocking2023

    • Author(s)
      Yamae Taiki、Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 33 Issue: 5 Pages: 1300704-1300704

    • DOI

      10.1109/tasc.2023.3239833

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-20J20495, KAKENHI-PROJECT-22H00220
  • [Journal Article] Scalable flux controllers using adiabatic superconductor logic for quantum processors2023

    • Author(s)
      Takeuchi Naoki、Yamae Taiki、Luo Wenhui、Hirayama Fuminori、Yamamoto Tsuyoshi、Yoshikawa Nobuyuki
    • Journal Title

      Physical Review Research

      Volume: 5 Issue: 1 Pages: 013145-013145

    • DOI

      10.1103/physrevresearch.5.013145

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-20J20495
  • [Journal Article] Sigmoid function generator using stochastic adiabatic superconductor logic2023

    • Author(s)
      Luo Wenhui、Chen Olivia、Yoshikawa Nobuyuki、Takeuchi Naoki
    • Journal Title

      Applied Physics Letters

      Volume: 122 Issue: 24 Pages: 242602-242602

    • DOI

      10.1063/5.0154712

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Journal Article] Timing Controller for a Superconductor Microwave Switch using Adiabatic Quantum Flux Parametron Circuits2022

    • Author(s)
      Shen Hongxiang、Takeuchi Naoki、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEJ Transactions on Fundamentals and Materials

      Volume: 142 Issue: 5 Pages: 197-201

    • DOI

      10.1541/ieejfms.142.197

    • ISSN
      0385-4205, 1347-5533
    • Year and Date
      2022-05-01
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-23K22812
  • [Journal Article] Compact RSFQ microwave pulse generator based on an integrated RF module for controlling superconducting qubits2022

    • Author(s)
      He Yuxing、Shen Hongxiang、Michibayashi Shiori、Zou Xihua、Xie Xiaojun、Yan Lianshan、Pan Wei、Yoshikawa Nobuyuki
    • Journal Title

      Applied Physics Letters

      Volume: 120 Issue: 6 Pages: 062601-062601

    • DOI

      10.1063/5.0083972

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Demonstration of Single-Flux-Quantum 64-B Lookup Table With Cryo-CMOS Decoders for Reconfiguration2022

    • Author(s)
      Hironaka Yuki、Hosoya Takuya、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 32 Issue: 8 Pages: 1-5

    • DOI

      10.1109/tasc.2022.3191984

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-23K22812
  • [Journal Article] Adiabatic Quantum-Flux-Parametron with Delay-Line Clocking Using Square Excitation Currents2022

    • Author(s)
      YAMAE Taiki、TAKEUCHI Naoki、YOSHIKAWA Nobuyuki
    • Journal Title

      IEICE Trans. Electron.

      Volume: E105.C Issue: 6 Pages: 277-282

    • DOI

      10.1587/transele.2021SEP0004

    • NAID

      130008142637

    • ISSN
      0916-8524, 1745-1353
    • Year and Date
      2022-06-01
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18H05245, KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-20J20495
  • [Journal Article] Transmission Line Effects of Long Gate-to-Gate Interconnections in Adiabatic Quantum-Flux-Parametron Logic Circuits2022

    • Author(s)
      Asai Kazuhito、Takeuchi Naoki、Suzuki Hideo、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 32 Issue: 7 Pages: 1-7

    • DOI

      10.1109/tasc.2022.3183496

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Design and Demonstration of a Superconducting Field-Programmable Gate Array Using Adiabatic Quantum-Flux-Parametron Logic and Memory2022

    • Author(s)
      Takahashi Daichi、Takeuchi Naoki、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 32 Issue: 7 Pages: 1-7

    • DOI

      10.1109/tasc.2022.3188865

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Low-Latency Adiabatic Quantum-Flux-Parametron Circuit Integrated With a Hybrid Serializer/Deserializer2022

    • Author(s)
      Hironaka Yuki、Yamae Taiki、Ayala Christopher L.、Yoshikawa Nobuyuki、Takeuchi Naoki
    • Journal Title

      IEEE Access

      Volume: 10 Pages: 133584-133590

    • DOI

      10.1109/access.2022.3230447

    • Peer Reviewed / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21K04191, KAKENHI-PROJECT-18H05245, KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-22H00220, KAKENHI-PROJECT-20J20495
  • [Journal Article] A 16-Bit Parallel Prefix Carry Look-Ahead Kogge-Stone Adder Implemented in Adiabatic Quantum-Flux-Parametron Logic2022

    • Author(s)
      TANAKA Tomoyuki、AYALA Christopher L.、YOSHIKAWA Nobuyuki
    • Journal Title

      IEICE Trans. Electron.

      Volume: E105.C Issue: 6 Pages: 270-276

    • DOI

      10.1587/transele.2021SEP0001

    • NAID

      130008142620

    • ISSN
      0916-8524, 1745-1353
    • Year and Date
      2022-06-01
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21K04191, KAKENHI-PROJECT-19H05614
  • [Journal Article] Scalable true random number generator using adiabatic superconductor logic2022

    • Author(s)
      Luo Wenhui、Chen Olivia、Yoshikawa Nobuyuki、Takeuchi Naoki
    • Journal Title

      Scientific Reports

      Volume: 12 Issue: 1 Pages: 1-10

    • DOI

      10.1038/s41598-022-24230-5

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Journal Article] A High-Speed Interface Based on a Josephson Latching Driver for Adiabatic Quantum-Flux-Parametron Logic2022

    • Author(s)
      CHINA Fumihiro、TAKEUCHI Naoki、SUZUKI Hideo、YAMANASHI Yuki、TERAI Hirotaka、YOSHIKAWA Nobuyuki
    • Journal Title

      IEICE Trans. Electron.

      Volume: E105.C Issue: 6 Pages: 264-269

    • DOI

      10.1587/transele.2021SEP0002

    • NAID

      130008124489

    • ISSN
      0916-8524, 1745-1353
    • Year and Date
      2022-06-01
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Buffer Reduction via N-Phase Clocking in Adiabatic Quantum-Flux-Parametron Benchmark Circuits2021

    • Author(s)
      Saito Ro、Ayala Christopher Lawrence、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: - Issue: 6 Pages: 1-1

    • DOI

      10.1109/tasc.2021.3073837

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18K13801, KAKENHI-PROJECT-19H05614
  • [Journal Article] Design and Demonstration of Directly Coupled Quantum-Flux-Parametron Circuits With Optimized Parameters2021

    • Author(s)
      Ishida Ryohei、Takeuchi Naoki、Yamae Taiki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 31 Issue: 5 Pages: 1100505-1100505

    • DOI

      10.1109/tasc.2021.3059723

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18H01493, KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-20J20495
  • [Journal Article] Logic Synthesis of Sequential Logic Circuits for Adiabatic Quantum-Flux-Parametron Logic2021

    • Author(s)
      Saito Ro、Ayala Christopher L.、Chen Olivia、Tanaka Tomoyuki、Tamura Tomohiro、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 31 Issue: 5 Pages: 1-5

    • DOI

      10.1109/tasc.2021.3061636

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18K13801, KAKENHI-PROJECT-19K15041, KAKENHI-PROJECT-19H05614
  • [Journal Article] Evaluation of flux trapping moat position on AQFP cell performance2021

    • Author(s)
      Fourie Coenrad J、Takeuchi Naoki、Jackman Kyle、Yoshikawa Nobuyuki
    • Journal Title

      Journal of Physics: Conference Series

      Volume: 1975 Issue: 1 Pages: 012027-012027

    • DOI

      10.1088/1742-6596/1975/1/012027

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Synthesis and Design of Quasi-Canonical Planar Filters Comprising Cascaded Frequency-Variant Blocks2021

    • Author(s)
      He Yuxing、Macchiarella Giuseppe、Ma Zhewang、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Microwave Theory and Techniques

      Volume: 69 Issue: 1 Pages: 671-681

    • DOI

      10.1109/tmtt.2020.3039814

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Demonstration of a 47.8 GHz High-Speed FFT Processor Using Single-Flux-Quantum Technology2021

    • Author(s)
      Fei Ke, Olivia Chen, Yanzhi Wang and Nobuyuki Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond

      Volume: 31-5 Issue: 5 Pages: 1-5

    • DOI

      10.1109/tasc.2021.3059984

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211, KAKENHI-PROJECT-19K15041
  • [Journal Article] An Adiabatic Superconductor Comparator With 46 nA Sensitivity2021

    • Author(s)
      Takeuchi Naoki、Yamae Taiki、Suzuki Hideo、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 31 Issue: 5 Pages: 1-5

    • DOI

      10.1109/tasc.2021.3061947

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-20J20495
  • [Journal Article] Development of the Wideband Cryoprobe for Evaluating Superconducting Integrated Circuits2021

    • Author(s)
      鈴木 秀雄、竹内 尚輝、吉川 信行
    • Volume
      J104-C
    • Issue
      6
    • Pages
      193-201
    • DOI

      10.14923/transelej.2020JCI0013

    • ISSN
      1881-0217
    • Year and Date
      2021-06-01
    • Language
      Japanese
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Planarized Nb 4-Layer Fabrication Process for Superconducting Integrated Circuits and Its Fabricated Device Evaluation2021

    • Author(s)
      NAGASAWA Shuichi、TANAKA Masamitsu、TAKEUCHI Naoki、YAMANASHI Yuki、MIYAJIMA Shigeyuki、CHINA Fumihiro、YAMAE Taiki、YAMAZAKI Koki、SOMEI Yuta、SEGA Naonori、MIZUGAKI Yoshinao、MYOREN Hiroaki、TERAI Hirotaka、HIDAKA Mutsuo、YOSHIKAWA Nobuyuki、FUJIMAKI Akira
    • Journal Title

      IEICE Trans. Electron.

      Volume: E104.C Issue: 9 Pages: 435-445

    • DOI

      10.1587/transele.2020SUP0001

    • NAID

      130008082182

    • ISSN
      0916-8524, 1745-1353
    • Year and Date
      2021-09-01
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18H05211, KAKENHI-PROJECT-18H05245, KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-20J20495
  • [Journal Article] Impedance Design of Excitation Lines in Adiabatic Quantum-Flux-Parametron Logic Using InductEx2021

    • Author(s)
      Takeuchi Naoki、Suzuki Hideo、Fourie Coenrad J.、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 31 Issue: 5 Pages: 1300605-1300605

    • DOI

      10.1109/tasc.2021.3058080

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H01493, KAKENHI-PROJECT-19H05614
  • [Journal Article] Binary Counters Using Adiabatic Quantum-Flux-Parametron Logic2021

    • Author(s)
      Yamae Taiki、Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 31 Issue: 2 Pages: 1-5

    • DOI

      10.1109/tasc.2020.3044677

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-20J20495, KAKENHI-PROJECT-19H05614
  • [Journal Article] A Compact Interface Between Adiabatic Quantum-Flux-Parametron and Rapid Single-Flux-Quantum Circuits2021

    • Author(s)
      Yamazaki Yuichi、Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 31 Issue: 5 Pages: 1-5

    • DOI

      10.1109/tasc.2021.3072002

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] MANA: A Monolithic Adiabatic iNtegration Architecture Microprocessor Using 1.4-zJ/op Unshunted Superconductor Josephson Junction Devices2021

    • Author(s)
      Ayala Christopher L.、Tanaka Tomoyuki、Saito Ro、Nozoe Mai、Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Journal of Solid-State Circuits

      Volume: 56 Issue: 4 Pages: 1152-1165

    • DOI

      10.1109/jssc.2020.3041338

    • Peer Reviewed / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18K13801, KAKENHI-PROJECT-19H05614
  • [Journal Article] Adiabatic quantum-flux-parametron with delay-line clocking: logic gate demonstration and phase skipping operation2021

    • Author(s)
      Yamae Taiki、Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      Superconductor Science and Technology

      Volume: 34 Issue: 12 Pages: 125002-125002

    • DOI

      10.1088/1361-6668/ac2e9f

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-20J20495
  • [Journal Article] Low-autocorrelation random number generator based on adiabatic quantum-flux-parametron logic2021

    • Author(s)
      Luo Wenhui、Takeuchi Naoki、Chen Olivia、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 31 Issue: 5 Pages: 1-1

    • DOI

      10.1109/tasc.2021.3070460

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19K15041, KAKENHI-PROJECT-19H05614
  • [Journal Article] Sharp-selectivity in-line topology low temperature superconducting bandpass filter for superconducting quantum applications2020

    • Author(s)
      He Yuxing、Michibayashi Shiori、Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      Superconductor Science and Technology

      Volume: 33 Issue: 3 Pages: 035012-035012

    • DOI

      10.1088/1361-6668/ab6ec1

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Demonstration of a Single-Flux-Quantum Microprocessor Operating With Josephson-CMOS Hybrid Memory2020

    • Author(s)
      Hironaka Yuki、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 30 Issue: 7 Pages: 1-6

    • DOI

      10.1109/tasc.2020.2994208

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Proposal of ultra-low voltage quantum well optical modulator for optical interconnection in superconducting integrated circuit systems2020

    • Author(s)
      Kota Sakai, Seiji Kato, Nobuyuki Yoshikawa, Yasuo Kokubun, and Taro Arakaw
    • Journal Title

      Jpn. J. Appl. Phys.

      Volume: 59 Issue: SO Pages: SOOB01-SOOB01

    • DOI

      10.35848/1347-4065/ab8284

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-18H01897
  • [Journal Article] Low-latency power-dividing clocking scheme for adiabatic quantum-flux-parametron logic2020

    • Author(s)
      He Yuxing、Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      Applied Physics Letters

      Volume: 116 Issue: 18 Pages: 182602-182602

    • DOI

      10.1063/5.0005612

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Directly coupled adiabatic superconductor logic2020

    • Author(s)
      Takeuchi Naoki、Arai Kota、Yoshikawa Nobuyuki
    • Journal Title

      Superconductor Science and Technology

      Volume: 33 Issue: 6 Pages: 065002-065002

    • DOI

      10.1088/1361-6668/ab87ad

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-18H01493
  • [Journal Article] A semi-custom design methodology and environment for implementing superconductor adiabatic quantum-flux-parametron microprocessors2020

    • Author(s)
      Ayala Christopher L、Saito Ro、Tanaka Tomoyuki、Chen Olivia、Takeuchi Naoki、He Yuxing、Yoshikawa Nobuyuki
    • Journal Title

      Superconductor Science and Technology

      Volume: 33 Issue: 5 Pages: 054006-054006

    • DOI

      10.1088/1361-6668/ab7ec3

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18K13801, KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-19K15041
  • [Journal Article] Investigation of the Effects of 1/f Noise on Superconducting Circuits2020

    • Author(s)
      Tsuna Yusuke、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 30 Issue: 7 Pages: 1-4

    • DOI

      10.1109/tasc.2020.2988871

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Systematic method to evaluate energy dissipation in adiabatic quantum-flux-parametron logic2019

    • Author(s)
      Yamae Taiki、Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      Journal of Applied Physics

      Volume: 126 Issue: 17 Pages: 173903-173903

    • DOI

      10.1063/1.5119306

    • NAID

      120006786475

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-19H00760, KAKENHI-PROJECT-18H01493
  • [Journal Article] Design and Demonstration of an Adiabatic-Quantum-Flux-Parametron Field-Programmable Gate Array Using Josephson-CMOS Hybrid Memories2019

    • Author(s)
      Okuma Yukihiro、Takeuchi Naoki、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 29 Issue: 8 Pages: 1-6

    • DOI

      10.1109/tasc.2019.2938577

    • NAID

      120006786474

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Journal Article] Study on Single Flux Quantum Floating-Point Divider Based on Goldschmidt's Algorithm2019

    • Author(s)
      Sanada Akiyoshi、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 29 Issue: 5 Pages: 1-4

    • DOI

      10.1109/tasc.2019.2902800

    • NAID

      120006606658

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-18K04280
  • [Journal Article] Fabrication of Adiabatic Quantum-Flux-Parametron Integrated Circuits Using an Automatic Placement Tool Based on Genetic Algorithms2019

    • Author(s)
      Tanaka Tomoyuki、Ayala Christopher L.、Xu Qiuyun、Saito Ro、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 29 Issue: 5 Pages: 1-6

    • DOI

      10.1109/tasc.2019.2900220

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-18K13801
  • [Journal Article] Low-latency adiabatic superconductor logic using delay-line clocking2019

    • Author(s)
      Takeuchi Naoki、Nozoe Mai、He Yuxing、Yoshikawa Nobuyuki
    • Journal Title

      Applied Physics Letters

      Volume: 115 Issue: 7 Pages: 072601-072601

    • DOI

      10.1063/1.5111599

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05614, KAKENHI-PROJECT-18H01493
  • [Journal Article] A reversible full adder using adiabatic superconductor logic2019

    • Author(s)
      Yamae Taiki、Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      Superconductor Science and Technology

      Volume: 32 Issue: 3 Pages: 035005-035005

    • DOI

      10.1088/1361-6668/aaf8c9

    • NAID

      120006636211

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-19H00760
  • [Journal Article] Demonstration of a Superconducting Nanowire Single-Photon Detector using Adiabatic Quantum-Flux-Parametron Logic in a 0.1-W Gifford-McMahon Cryocooler2019

    • Author(s)
      Takeuchi Naoki、Yamashita Taro、Miyajima Shigeyuki、Miki Shigehito、Yoshikawa Nobuyuki、Terai Hirotaka
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 29 Issue: 5 Pages: 1-4

    • DOI

      10.1109/tasc.2019.2902771

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-18H05211, KAKENHI-PROJECT-18H05245
  • [Journal Article] Adiabatic Quantum-Flux-Parametron: Towards Building Extremely Energy-Efficient Circuits and Systems2019

    • Author(s)
      Chen Olivia、Cai Ruizhe、Wang Yanzhi、Ke Fei、Yamae Taiki、Saito Ro、Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      Scientific Reports

      Volume: 9 Issue: 1 Pages: 1-20

    • DOI

      10.1038/s41598-019-46595-w

    • Peer Reviewed / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19K15041, KAKENHI-PROJECT-19H05614
  • [Journal Article] Demonstration of Picosecond Time Resolution in Double-Oscillator Time-to-Digital Converter Using Single-Flux-Quantum Circuits2019

    • Author(s)
      Tomitsuka Yuma、Yamanashi Yuki、Zen Nobuyuki、Ohkubo Masataka、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 29 Issue: 5 Pages: 1-5

    • DOI

      10.1109/tasc.2019.2902478

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-16H06092, KAKENHI-PROJECT-18K04280
  • [Journal Article] An adiabatic superconductor 8-bit adder with 24kBT energy dissipation per junction2019

    • Author(s)
      Takeuchi Naoki、Yamae Taiki、Ayala Christopher L.、Suzuki Hideo、Yoshikawa Nobuyuki
    • Journal Title

      Applied Physics Letters

      Volume: 114 Issue: 4 Pages: 042602-042602

    • DOI

      10.1063/1.5080753

    • NAID

      120006636212

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Superconducting Digital Electronics for Controlling Quantum Computing Systems2019

    • Author(s)
      YOSHIKAWA Nobuyuki
    • Journal Title

      IEICE Trans. Electron.

      Volume: E102.C Issue: 3 Pages: 217-223

    • DOI

      10.1587/transele.2018SDI0003

    • NAID

      130007606709

    • ISSN
      0916-8524, 1745-1353
    • Year and Date
      2019-03-01
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Miniaturization of adiabatic quantum-flux-parametron circuits by adopting offset buffers2019

    • Author(s)
      Okuma Yukihiro、Takeuchi Naoki、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      Superconductor Science and Technology

      Volume: 32 Issue: 6 Pages: 065007-065007

    • DOI

      10.1088/1361-6668/ab1672

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18K04280, KAKENHI-PROJECT-19H05614
  • [Journal Article] Adiabatic quantum-flux-parametron with π Josephson junctions2019

    • Author(s)
      Arai Kota、Takeuchi Naoki、Yamashita Taro、Yoshikawa Nobuyuki
    • Journal Title

      Journal of Applied Physics

      Volume: 125 Issue: 9 Pages: 093901-093901

    • DOI

      10.1063/1.5080467

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-18H01493
  • [Journal Article] Thermally Assisted Superconductor Transistors for Josephson-CMOS Hybrid Memories2018

    • Author(s)
      K. Sano, M. Suzuki, K. Maruyama, S. Taniguchi, M. Tanaka, A Fujimaki, M. Inoue, N. Yoshikawa
    • Journal Title

      IEICE Trans. Electron.

      Volume: E101.C Issue: 5 Pages: 370-377

    • DOI

      10.1587/transele.E101.C.370

    • NAID

      130006729728

    • ISSN
      0916-8524, 1745-1353
    • Year and Date
      2018-05-01
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18H05211, KAKENHI-PROJECT-26220904
  • [Journal Article] Recent Progress on Reversible Quantum-Flux-Parametron for Superconductor Reversible Computing2018

    • Author(s)
      Naoki TAKEUCHI, Yuki YAMANASHI, Nobuyuki YOSHIKAWA
    • Journal Title

      IEICE Trans. Electron.

      Volume: E101.C Issue: 5 Pages: 352-358

    • DOI

      10.1587/transele.E101.C.352

    • NAID

      130006729726

    • ISSN
      0916-8524, 1745-1353
    • Year and Date
      2018-05-01
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-16K14248, KAKENHI-PROJECT-26220904
  • [Journal Article] A random-access-memory cell based on quantum flux parametron with three control lines2018

    • Author(s)
      Takayama Hiroshi、Takeuchi Naoki、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      Journal of Physics: Conference Series

      Volume: 1054 Pages: 012063-012063

    • DOI

      10.1088/1742-6596/1054/1/012063

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18K04280, KAKENHI-PROJECT-26220904
  • [Journal Article] Minimum energy dissipation required for a logically irreversible operation2018

    • Author(s)
      Takeuchi Naoki、Yoshikawa Nobuyuki
    • Journal Title

      Physical Review E

      Volume: 97 Issue: 1 Pages: 1-5

    • DOI

      10.1103/physreve.97.012124

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-16K14248, KAKENHI-PROJECT-26220904
  • [Journal Article] Josephson-CMOS Hybrid Memory With Nanocryotrons2017

    • Author(s)
      Tanaka Masamitsu、Suzuki Masato、Konno Gen、Ito Yuki、Fujimaki Akira、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 27 Issue: 4 Pages: 1-4

    • DOI

      10.1109/tasc.2016.2646929

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-16H02796
  • [Journal Article] Adiabatic quantum-flux-parametron interface for the readout of superconducting nanowire single-photon detectors2017

    • Author(s)
      Takeuchi Naoki、Yamashita Taro、Miyajima Shigeyuki、Miki Shigehito、Yoshikawa Nobuyuki、Terai Hirotaka
    • Journal Title

      Optics Express

      Volume: 25 Issue: 26 Pages: 32650-32650

    • DOI

      10.1364/oe.25.032650

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-26249054, KAKENHI-PROJECT-16K18089
  • [Journal Article] Superconducting Time-of-flight Mass Spectrometry Systems for Biomolecules using Superconducting Digital Circuits2017

    • Author(s)
      吉川 信行、佐野 京佑、山梨 裕希、全 伸幸、大久保 雅隆
    • Journal Title

      TEION KOGAKU (Journal of Cryogenics and Superconductivity Society of Japan)

      Volume: 52 Issue: 5 Pages: 349-354

    • DOI

      10.2221/jcsj.52.349

    • NAID

      130006183702

    • ISSN
      0389-2441, 1880-0408
    • Language
      Japanese
    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-16H06092
  • [Journal Article] Design and Implementation of a 16-Word by 1-Bit Register File Using Adiabatic Quantum Flux Parametron Logic2017

    • Author(s)
      Tsuji Naoki、Ayala Christopher L.、Takeuchi Naoki、Ortlepp Thomas、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 27 Issue: 4 Pages: 1-4

    • DOI

      10.1109/tasc.2017.2656128

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Majority-Logic-Optimized Parallel Prefix Carry Look-Ahead Adder Families Using Adiabatic Quantum-Flux-Parametron Logic2017

    • Author(s)
      Ayala Christopher L.、Takeuchi Naoki、Yamanashi Yuki、Ortlepp Thomas、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 27 Issue: 4 Pages: 1-7

    • DOI

      10.1109/tasc.2016.2642041

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Reduction of the supply current of single-flux-quantum time-to-digital converters by current recycling techniques2017

    • Author(s)
      K. Sano, T. Shimoda, Y. Abe, Y. Yamanashi, N. Yoshikawa, N. Zen, and M. Ohkubo
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 27 Pages: 1300305-1300305

    • DOI

      10.1109/tasc.2016.2646916

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-15J00206, KAKENHI-PROJECT-26220904
  • [Journal Article] Fully Functional Operation of Low-Power 64-kb Josephson-CMOS Hybrid Memories2017

    • Author(s)
      Konno Gen、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 27 Issue: 4 Pages: 1-7

    • DOI

      10.1109/tasc.2016.2646911

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Design and Implementation of an SFQ-Based Single-Chip FFT Processor2017

    • Author(s)
      Ono Tomohiro、Suzuki Hideo、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 27 Issue: 4 Pages: 1-5

    • DOI

      10.1109/tasc.2017.2667398

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Experimental and simulation results of a symmetrical pad to reduce a stray ground current in superconducting integrated circuits2017

    • Author(s)
      Suzuki H、Ono T、Yoshikawa N
    • Journal Title

      Journal of Physics: Conference Series

      Volume: 871 Pages: 012067-012067

    • DOI

      10.1088/1742-6596/871/1/012067

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Measurement of low bit-error-rates of adiabatic quantum-flux-parametron logic using a superconductor voltage driver2017

    • Author(s)
      Takeuchi Naoki、Suzuki Hideo、Yoshikawa Nobuyuki
    • Journal Title

      Applied Physics Letters

      Volume: 110 Issue: 20 Pages: 202601-202601

    • DOI

      10.1063/1.4983351

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-16K18089
  • [Journal Article] Demonstration of Signal Transmission between Adiabatic Quantum-Flux-Parametrons and Rapid Single-Flux-Quantum Circuits Using Superconductive Microstrip Lines2017

    • Author(s)
      China Fumihiro、Tsuji Naoki、Narama Tatsuya、Takeuchi Naoki、Ortlepp Thomas、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 27 Pages: 1-1

    • DOI

      10.1109/tasc.2016.2642046

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Three-dimensional adiabatic quantum-flux-parametron fabricated using a double-active-layered niobium process2017

    • Author(s)
      Ando Takumi、Nagasawa Shuichi、Takeuchi Naoki、Tsuji Naoki、China Fumihiro、Hidaka Mutsuo、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      Superconductor Science and Technology

      Volume: 30 Issue: 7 Pages: 075003-075003

    • DOI

      10.1088/1361-6668/aa6ef4

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-16K18089
  • [Journal Article] Evaluation of current sensitivity of quantum flux parametron2017

    • Author(s)
      Yamanashi Yuki、Matsushima Takashi、Takeuchi Naoki、Yoshikawa Nobuyuki、Ortlepp Thomas
    • Journal Title

      Superconductor Science and Technology

      Volume: 30 Issue: 8 Pages: 084004-084004

    • DOI

      10.1088/1361-6668/aa73be

    • NAID

      120006501248

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Multi-excitation adiabatic quantum-flux-parametron2017

    • Author(s)
      Fang Kun、Takeuchi Naoki、Ando Takumi、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      Journal of Applied Physics

      Volume: 121 Issue: 14 Pages: 143901-143901

    • DOI

      10.1063/1.4979856

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Reversibility and energy dissipation in adiabatic superconductor logic2017

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      Scientific Reports

      Volume: 7 Issue: 1 Pages: 1-12

    • DOI

      10.1038/s41598-017-00089-9

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-16K14248, KAKENHI-PROJECT-26220904
  • [Journal Article] Adiabatic quantum-flux-parametron cell library designed using a 10 kA cm^-2 niobium fabrication process2017

    • Author(s)
      N. Takeuchi, S. Nagaswa, F. China, T. Ando, M. Hidaka, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      Superconductor Science and Technology

      Volume: vol. 30, No. 3 Issue: 3 Pages: 035002-035002

    • DOI

      10.1088/1361-6668/aa52f3

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-16K18089
  • [Journal Article] Development and Demonstration of Routing and Placement EDA Tools for Large-Scale Adiabatic Quantum-Flux-Parametron Circuits2017

    • Author(s)
      Murai Yuki、Ayala Christopher L.、Takeuchi Naoki、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 27 Issue: 6 Pages: 1-9

    • DOI

      10.1109/tasc.2017.2721965

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Synthesis Flow for Cell-Based Adiabatic Quantum-Flux-Parametron Structural Circuit Generation With HDL Back-End Verification2017

    • Author(s)
      Xu Qiuyun、Ayala Christopher L.、Takeuchi Naoki、Murai Yuki、Yamanashi Yuki、Yoshikawa Nobuyuki
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 27 Issue: 4 Pages: 1-5

    • DOI

      10.1109/tasc.2017.2662017

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] High-speed demonstration of low-power 1 k-bit shift-register memories using LR-biasing SFQ circuits2016

    • Author(s)
      T. Takahashi, R. Numaguchi, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEICE Electron. Express

      Volume: 13 Issue: 6 Pages: 20160074-20160074

    • DOI

      10.1587/elex.13.20160074

    • NAID

      130005139713

    • ISSN
      1349-2543
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Inductance and Current Distribution Extraction in Nb Multilayer Circuits with Superconductive and Resistive Components2016

    • Author(s)
      C. Fourie, N. Takeuchi, N. Yoshikawa
    • Journal Title

      IEICE Trans. Electron.

      Volume: E99.C Issue: 6 Pages: 683-691

    • DOI

      10.1587/transele.E99.C.683

    • NAID

      130005154687

    • ISSN
      0916-8524, 1745-1353
    • Language
      English
    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-16K18089
  • [Journal Article] Adiabatic Quantum-Flux-Parametron Constant Cells using Asymmetrical Structures2016

    • Author(s)
      安藤拓生, 竹内尚輝, 山梨裕希, 吉川信行
    • Journal Title

      IEEJ Transactions on Fundamentals and Materials

      Volume: 136 Issue: 12 Pages: 747-752

    • DOI

      10.1541/ieejfms.136.747

    • NAID

      130005171372

    • ISSN
      0385-4205, 1347-5533
    • Language
      Japanese
    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-16K18089
  • [Journal Article] Power Reduction of Josephson Random Access Memory Using Stochastic Resonance2016

    • Author(s)
      R. Kihara, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: vol. 26, No. 8 Issue: 8 Pages: 1-4

    • DOI

      10.1109/tasc.2016.2607156

    • NAID

      120006380455

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Majority Gate-Based Feedback Latches for Adiabatic Quantum Flux Parametron Logic2016

    • Author(s)
      N. Tsuji, N. Takeuchi, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Journal Title

      IEICE Trans. Electron.

      Volume: E99.C Issue: 6 Pages: 710-716

    • DOI

      10.1587/transele.E99.C.710

    • NAID

      130005154697

    • ISSN
      0916-8524, 1745-1353
    • Language
      English
    • Peer Reviewed / Acknowledgement Compliant / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] HDL-Based Modeling Approach for Digital Simulation of Adiabatic Quantum Flux Parametron Logic2016

    • Author(s)
      Q. Xu, C. L. Ayala, Y. Yamanashi, N. Takeuchi, N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: vol. 26, No. 8 Issue: 8 Pages: 1-5

    • DOI

      10.1109/tasc.2016.2615123

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] 30GHz Operation of Single-Flux-Quantum Arithmetic Logic Unit Implemented by Using Dynamically Reconfigurable Gates2016

    • Author(s)
      Y. Yamanashi, S. Nishimoto, N. Yoshikawa
    • Journal Title

      IEICE Trans. Electron.

      Volume: E99.C Issue: 6 Pages: 692-696

    • DOI

      10.1587/transele.E99.C.692

    • NAID

      130005154686

    • ISSN
      0916-8524, 1745-1353
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Improvement of Operation Speed of LR-Biased Low-Power Single-Flux Quantum Circuits by Introduction of Dynamic Resetting of Bias Currents2016

    • Author(s)
      R. Tsutsumi, K. Sato, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: vol. 26, No. 8 Issue: 8 Pages: 1-5

    • DOI

      10.1109/tasc.2016.2598766

    • NAID

      120006380454

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Statistical analysis of error rate of large-scale single flux quantum logic circuit by considering fluctuation of timing parameters2016

    • Author(s)
      Y. Yamanashi, K. Masubuchi, N. Yoshikawa
    • Journal Title

      Physica C

      Volume: vol. 530 Pages: 101-103

    • DOI

      10.1016/j.physc.2016.03.001

    • NAID

      120006382462

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Design and Demonstration of Interface Circuits between Rapid Single-Flux-Quantum and Adiabatic Quantum-Flux-Parametron Circuits2016

    • Author(s)
      F. China, T. Narama, N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: vol. 26, No. 5 Issue: 5 Pages: 1-5

    • DOI

      10.1109/tasc.2016.2577603

    • Peer Reviewed / Acknowledgement Compliant / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] High-Speed Operation of an SFQ Butterfly Processing Circuit for FFT Processors Using the 10 kA/cm2 Nb Process2015

    • Author(s)
      Y. Sakashita, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEEE Trans. on Appl. Supercond.

      Volume: 25 Issue: 3 Pages: 1301205-1301205

    • DOI

      10.1109/tasc.2014.2384833

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Design and demonstration of adiabatic quantum-flux-parametron logic circuits with superconductor magnetic shields2015

    • Author(s)
      K. Inoue, N. Takeuchi, T. Narama, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      Superconductor Science and Technology

      Volume: 28 Issue: 4 Pages: 045020-045020

    • DOI

      10.1088/0953-2048/28/4/045020

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-14J00262, KAKENHI-PROJECT-26220904
  • [Journal Article] Energy efficiency of adiabatic superconductor logic2015

    • Author(s)
      N. Takeuchi, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      Superconductor Science and Technology

      Volume: 28 Issue: 1 Pages: 015003-015003

    • DOI

      10.1088/0953-2048/28/1/015003

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-14J00262, KAKENHI-PROJECT-25630141, KAKENHI-PROJECT-26220904
  • [Journal Article] Magnetically coupled quantum-flux-latch with wide operation margins2015

    • Author(s)
      N. Tsuji, N. Takeuchi, T. Narama, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      Supercond. Sci. Technol.

      Volume: 28 Issue: 11 Pages: 115013-115013

    • DOI

      10.1088/0953-2048/28/11/115013

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Design Method of Single Flux Quantum Logic Circuits Using Dynamically Reconfigurable Logic Gates2015

    • Author(s)
      S. Nishimoto, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 25 Issue: 3 Pages: 1301405-1301405

    • DOI

      10.1109/tasc.2014.2387251

    • NAID

      120006380456

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-25820137, KAKENHI-PROJECT-26220904
  • [Journal Article] Demonstration of single-flux-quantum readout circuits for time-of-flight mass spectrometry systems using superconducting strip ion detectors2015

    • Author(s)
      K. Sano, Y. Takahashi, Y. Yamanashi, N. Yoshikawa, N. Zen, M. Ohkubo
    • Journal Title

      Supercond. Sci. Technol.

      Volume: vol.28 Issue: 7 Pages: 074003-074003

    • DOI

      10.1088/0953-2048/28/7/074003

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904, KAKENHI-PROJECT-15J00206
  • [Journal Article] Inductance and Coupling of Stacked Vias in a Multilayer Superconductive IC Process2015

    • Author(s)
      C. J. Fourie, X. Peng, R. Numaguchi, N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: vol. 25 Issue: 3 Pages: 1101104-1101104

    • DOI

      10.1109/tasc.2014.2378013

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Experimental Demonstration of Quantum-Flux-Latch-Based Circuits2015

    • Author(s)
      N. Takeuchi, T. Ortlepp, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 25 Pages: 1300803-1300803

    • DOI

      10.1109/tasc.2014.2374472

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-14J00262, KAKENHI-PROJECT-26220904
  • [Journal Article] Fast and accurate inductance and coupling calculation for a multi-layer Nb process2015

    • Author(s)
      C. J. Fourie, A. Takahashi, N. Yoshikawa
    • Journal Title

      Supercond. Sci. Technol.

      Volume: vol. 28 Issue: 3 Pages: 035013-035013

    • DOI

      10.1088/0953-2048/28/3/035013

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] High Speed Operation of Single Flux Quantum Multiple Input Merger Using a Magnetically Coupled SQUID Stack2015

    • Author(s)
      K. Sato, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 25 Issue: 3 Pages: 1301605-1301605

    • DOI

      10.1109/tasc.2015.2398675

    • NAID

      120006380457

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-25820137, KAKENHI-PROJECT-26220904
  • [Journal Article] Adiabatic quantum-flux-parametron cell library adopting minimalist design2015

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      Journal of Applied Physics

      Volume: 117 Issue: 17 Pages: 173912-173912

    • DOI

      10.1063/1.4919838

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] Demonstration of Bit-Serial SFQ-Based Computing for Integer Iteration Algorithms2015

    • Author(s)
      Q. Xu, X. Peng, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEEE Trans. on Appl. Supercond

      Volume: 25 Issue: 3 Pages: 1300704-1300704

    • DOI

      10.1109/tasc.2014.2374454

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] High-Speed Demonstration of Bit-Serial Floating-Point Adders and Multipliers Using Single-Flux-Quantum Circuits2015

    • Author(s)
      X. Peng, Q. Xu, T. Kato, Y. Yamanashi, N. Yoshikawa, A. Fujimaki, N. Takagi, K. Takagi and M. Hidaka
    • Journal Title

      IEEE Trans. on Appl. Supercond.

      Volume: 25 Issue: 3 Pages: 1301106-1301106

    • DOI

      10.1109/tasc.2014.2382973

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] 50 GHz Demonstration of an Integer-Type Butterfly Processing Circuit for an FFT Processor Using the 10 kA/cm<SUP>2</SUP> Nb Process2015

    • Author(s)
      (188) Y. Sakashita, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEICE Trans. Electron.

      Volume: E98.C Issue: 3 Pages: 232-237

    • DOI

      10.1587/transele.E98.C.232

    • NAID

      130004841709

    • ISSN
      0916-8524, 1745-1353
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25630141, KAKENHI-PROJECT-26220904
  • [Journal Article] Thermodynamic Study of Energy Dissipation in Adiabatic Superconductor Logic2015

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      Phys. Rev. Applied

      Volume: 4 Issue: 3 Pages: 034007-034007

    • DOI

      10.1103/physrevapplied.4.034007

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] A Reconfigurable Data-Path Accelerator Based on Single Flux Quantum Circuits2014

    • Author(s)
      H. Kataoka, H. Honda, F. Mehdipour, N. Yoshikawa, A. Fujimaki, H. Akaike, N. Takagi, K. Murakami
    • Journal Title

      IEICE Trans. Electron.

      Volume: E97.C Issue: 3 Pages: 141-148

    • DOI

      10.1587/transele.E97.C.141

    • NAID

      130003394700

    • ISSN
      0916-8524, 1745-1353
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009, KAKENHI-PROJECT-25630141
  • [Journal Article] Optimization of SFQ logic gate considering dependence of its signal propagation delay on the bias voltage2014

    • Author(s)
      M. Otsubo, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      Physics Procedia

      Volume: 58 Pages: 216-219

    • DOI

      10.1016/j.phpro.2014.09.059

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25630141, KAKENHI-PROJECT-26220904
  • [Journal Article] Reduction of the Jitter of Single-Flux-Quantum Time-to-Digital Converters for Time-of-Flight Mass Spectrometry2014

    • Author(s)
      K. Sano, Y. Muramatsu, Y. Yamanashi, N. Yoshikawa, N. Zen, M. Ohkubo
    • Journal Title

      Physica C

      Volume: vol. 504 Pages: 97-101

    • DOI

      10.1016/j.physc.2014.02.016

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Journal Article] 消費電力の限界に挑む超電導集積回路技術の最近の進展2014

    • Author(s)
      吉川信行
    • Journal Title

      電学論A

      Volume: 134 Pages: 14-17

    • NAID

      130003382662

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Nb 9-Layer Fabrication Process for Superconducting Large-Scale SFQ Circuits and Its Process Evaluation2014

    • Author(s)
      S. Nagasawa, K. Hinode, T. Satoh, M. Hidaka, H. Akaike, A. Fujimaki, N. Yoshikawa, K. Takagi, N. Takagi
    • Journal Title

      IEICE Trans. Electron.

      Volume: E97.C Issue: 3 Pages: 132-140

    • DOI

      10.1587/transele.E97.C.132

    • NAID

      130003394699

    • ISSN
      0916-8524, 1745-1353
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009, KAKENHI-PROJECT-25630141
  • [Journal Article] Design and Demonstration of a Single-Flux-Quantum Multi-Stop Time-to-Digital Converter for Time-of-Flight Mass Spectrometry2014

    • Author(s)
      K. Sano, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEICE Trans. Electron.

      Volume: E97.C Issue: 3 Pages: 182-187

    • DOI

      10.1587/transele.E97.C.182

    • NAID

      130003394706

    • ISSN
      0916-8524, 1745-1353
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009, KAKENHI-PROJECT-25630141
  • [Journal Article] Reduction of the Jitter of Single-Flux-Quantum Time-to-Digital Converters for Time-of-Flight Mass Spectrometry2014

    • Author(s)
      K. Sano, Y. Muramatsu, Y. Yamanashi, N. Yoshikawa, N. Zen, M. Ohkubo
    • Journal Title

      Physica C

      Volume: 504 Pages: 97-101

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Journal Article] 消費電力の限界に挑む超電導集積回路技術の最近の進展2014

    • Author(s)
      吉川信行
    • Journal Title

      電学論A

      Volume: 134 Pages: 14-17

    • NAID

      130003382662

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Journal Article] Yield analysis of large-scale adiabatic-quantum-flux-parametron logic: The effect of the distribution of the critical current2014

    • Author(s)
      D. Si, N. Takeuchi, K. Inoue, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      Physica C: Superconductivity

      Volume: 504 Pages: 102-105

    • DOI

      10.1016/j.physc.2014.05.007

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-14J00262, KAKENHI-PROJECT-25630141, KAKENHI-PROJECT-26220904
  • [Journal Article] Design and Evaluation of Magnetic Field Tolerant Single Flux Quantum Circuits for Superconductive Sensing Systems2014

    • Author(s)
      Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEICE Trans. Electron.

      Volume: E97.C Issue: 3 Pages: 178-181

    • DOI

      10.1587/transele.E97.C.178

    • NAID

      130003394705

    • ISSN
      0916-8524, 1745-1353
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009, KAKENHI-PROJECT-25630141
  • [Journal Article] Design and High-Speed Demonstration of Single-Flux-Quantum Bit-Serial Floating-Point Multipliers Using a 10kA/cm<sup>2</sup> Nb Process2014

    • Author(s)
      X. Peng, Y. Yamanashi, N. Yoshikawa, A. Fujimaki, N. Takagi, K. Takagi, M. Hidaka
    • Journal Title

      IEICE Trans. Electron.

      Volume: E97.C Issue: 3 Pages: 188-193

    • DOI

      10.1587/transele.E97.C.188

    • NAID

      130003394707

    • ISSN
      0916-8524, 1745-1353
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009, KAKENHI-PROJECT-25630141
  • [Journal Article] High-Speed Experimental Demonstration of Adiabatic Quantum-Flux-Parametron Gates Using Quantum-Flux-Latches2014

    • Author(s)
      N. Takeuchi, T. Ortlepp, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 24 Issue: 4 Pages: 1300204-1300204

    • DOI

      10.1109/tasc.2014.2311444

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-14J00262, KAKENHI-PROJECT-25630141, KAKENHI-PROJECT-26220904
  • [Journal Article] Novel latch for adiabatic quantum-flux-parametron logic2014

    • Author(s)
      N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      Journal of Applied Physics

      Volume: 115 Issue: 10 Pages: 103910-103910

    • DOI

      10.1063/1.4868336

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009, KAKENHI-PROJECT-25630141
  • [Journal Article] Reversible computing using adiabatic superconductor logic2014

    • Author(s)
      N. Takeuchi, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      Lecture Notes in Computer Science

      Volume: 8507 Pages: 15-25

    • DOI

      10.1007/978-3-319-08494-7_2

    • ISBN
      9783319084930, 9783319084947
    • Peer Reviewed / Acknowledgement Compliant / Open Access
    • Data Source
      KAKENHI-PROJECT-14J00262, KAKENHI-PROJECT-25630141, KAKENHI-PROJECT-26220904
  • [Journal Article] Reversible logic gate using adiabatic superconducting devices2014

    • Author(s)
      N. Takeuchi, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      Scientific Reports

      Volume: 4 Issue: 1 Pages: 6354-6354

    • DOI

      10.1038/srep06354

    • Peer Reviewed / Acknowledgement Compliant / Open Access
    • Data Source
      KAKENHI-PROJECT-14J00262, KAKENHI-PROJECT-25630141, KAKENHI-PROJECT-26220904
  • [Journal Article] Large-Scale Integrated Circuit Design Based on a Nb Nine-Layer Structure for Reconfigurable Data-Path Processors2014

    • Author(s)
      A. Fujimaki, M. Tanaka, R. Kasagi, K. Takagi, M. Okada, Y. Hayakawa, K. Takata, H. Akaike, N. Yoshikawa, S. Nagasawa, K. Takagi, N. Takagi
    • Journal Title

      IEICE Trans. Electron.

      Volume: E97.C Issue: 3 Pages: 157-165

    • DOI

      10.1587/transele.E97.C.157

    • NAID

      130003394702

    • ISSN
      0916-8524, 1745-1353
    • Language
      English
    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009, KAKENHI-PROJECT-25630141
  • [Journal Article] Pseudo Sigmoid Function Generator for a Superconductive Neural Network2013

    • Author(s)
      Y. Yamanashi, K. Umeda, and N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond

      Volume: 23 Issue: 3 Pages: 1701004-1701004

    • DOI

      10.1109/tasc.2012.2228531

    • NAID

      120006382466

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Design and Demonstration of an On-chip AC Power Source for Adiabatic Quantum-Flux-Parametron Logic2013

    • Author(s)
      T. Mukaiyama, N. Takeuchi, Y. Yamanashi and N. Yoshikawa
    • Journal Title

      Supercond. Sci. Tech

      Volume: 26 Issue: 3 Pages: 35018-35018

    • DOI

      10.1088/0953-2048/26/3/035018

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] 8-Bit Asynchronous Sparse-Tree Superconductor RSFQ Arithmetic-Logic Unit With a Rich Set of Operations2013

    • Author(s)
      M. Dorojevets, C. L. Ayala, N. Yoshikawa and A. Fujimaki
    • Journal Title

      IEEE Trans. Appl. Supercond

      Volume: 23 Issue: 3 Pages: 1700104-1700104

    • DOI

      10.1109/tasc.2012.2229334

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Asynchronous Digital SQUID Magnetometer With an On-Chip Magnetic Feedback for Improvement of Magnetic Resolution2013

    • Author(s)
      Y. Tsuga, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 23 Issue: 3 Pages: 1601405-1601405

    • DOI

      10.1109/tasc.2012.2235901

    • NAID

      120006382464

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009, KAKENHI-PROJECT-25820137
  • [Journal Article] 20-GHz 8 × 8-bit Parallel Carry-Save Pipelined RSFQ Multiplier2013

    • Author(s)
      M. Dorojevets, A. K. Kasperek, N. Yoshikawa, and A. Fujimaki
    • Journal Title

      IEEE Trans. Appl. Supercond

      Volume: 23 Issue: 3 Pages: 1300104-1300104

    • DOI

      10.1109/tasc.2012.2227648

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Measurement of 10 zJ energy dissipation of adiabatic quantum-flux-parametron logic using a superconducting resonator2013

    • Author(s)
      N. Takeuchi, Y. Yamanashi and N. Yoshikawa
    • Journal Title

      Appl. Phys. Lett.

      Volume: 102 Issue: 5 Pages: 52602-52602

    • DOI

      10.1063/1.4790276

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Operation of an Adiabatic Quantum-Flux-Parametron Gate Using an On-chip AC Power Source2013

    • Author(s)
      T. Mukaiyama, N. Takeuchi, Y. Yamanashi and N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 23 Issue: 4 Pages: 1301605-1301605

    • DOI

      10.1109/tasc.2013.2251465

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] 16-Bit Wave-Pipelined Sparse-Tree RSFQ Adder2013

    • Author(s)
      M. Dorojevets, C. L. Ayala, N. Yoshikawa and A. Fujimaki
    • Journal Title

      IEEE Trans. Appl. Supercond

      Volume: 23 Issue: 3 Pages: 1700605-1700605

    • DOI

      10.1109/tasc.2012.2233846

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] mprovement of Operating Margin of SFQ Circuits by Controlling Dependence of Signal Propagation Time on Bias Voltage2013

    • Author(s)
      M. Otsubo, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond

      Volume: 23 Issue: 3 Pages: 1300904-1300904

    • DOI

      10.1109/tasc.2012.2234176

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Margin and Energy Dissipation of Adiabatic Quantum-Flux-Parametron Logic at Finite Temperature2013

    • Author(s)
      N. Takeuchi, K. Ehara, K. Inoue, Y. Yamanashi and N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond

      Volume: 23 Issue: 3 Pages: 1700304-1700304

    • DOI

      10.1109/tasc.2012.2232336

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Development of pulse transfer circuits for serially biased SFQ circuits using the Nb 9-layer 1-μm process2013

    • Author(s)
      K. Ehara, A. Takahashi, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 23 Issue: 3 Pages: 1300504-1300504

    • DOI

      10.1109/tasc.2012.2233535

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] An Adiabatic quantum flux parametron as an ultra-low-power logic device2013

    • Author(s)
      N. Takeuchi, D. Ozawa, Y. Yamanashi and N. Yoshikawa
    • Journal Title

      Supercond. Sci. Tech.

      Volume: 26 Issue: 3 Pages: 35010-35010

    • DOI

      10.1088/0953-2048/26/3/035010

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Simulation and Experimental Demonstration of Logic Circuits Using an Ultra-low-power Adiabatic Quantum-flux-parametron2013

    • Author(s)
      K. Inoue, N. Takeuchi, K. Ehara, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond.

      Volume: 23 Issue: 3 Pages: 1301105-1301105

    • DOI

      10.1109/tasc.2012.2236133

    • NAID

      110009727943

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Simulation of sub-kBT bit-energy operation of adiabatic quantum-flux parametron logic with low bit-error-rate2013

    • Author(s)
      N. Takeuchi, Y. Yamanashi and N. Yoshikawa
    • Journal Title

      Appl. Phys. Lett.

      Volume: 103 Issue: 6 Pages: 62602-62602

    • DOI

      10.1063/1.4817974

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009, KAKENHI-PROJECT-25630141
  • [Journal Article] Design and implementation of 64-kb CMOS static RAMs for Josephson-CMOS hybrid memories2013

    • Author(s)
      K. Kuwabara, H. Jin, Y. Yamanashi and N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl.Supercond

      Volume: 23 Issue: 3 Pages: 1700704-1700704

    • DOI

      10.1109/tasc.2012.2229331

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Multiplexing Techniques of Single Flux Quantum Circuit Based Readout Circuit for a Multi-Channel Sensing System2013

    • Author(s)
      K. Aoki, Y. Yamanashi, and N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Supercond

      Volume: 23 Issue: 3 Pages: 2500204-2500204

    • DOI

      10.1109/tasc.2012.2230679

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009, KAKENHI-PROJECT-25820137
  • [Journal Article] Pulse-Height Distribution Analysis for Superconducting Nanostripline Ion Detector with a Fast Pulse-Integration Analog-Todigital Converter2012

    • Author(s)
      Koji Suzuki, Masahiro Ukibe, Shigetomo Shiki, Shigehito Miki, Zhen Wang, Yoshihiro Takahashi, Nobuyuki Yoshikawa, Masataka Ohkubo
    • Journal Title

      Physics Procedia

      Volume: 36 Pages: 172-176

    • DOI

      10.1016/j.phpro.2012.06.066

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Implementation of SFQ Microwave Choppers for Controlling Quantum Bits2012

    • Author(s)
      S. Miura, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      Physics Procedia

      Volume: 36 Pages: 250-255

    • DOI

      10.1016/j.phpro.2012.06.155

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Investigation of Robust CMOS Amplifiers for Josephson-CMOS Hybrid Memories2012

    • Author(s)
      Hyunjoo Jin, Keita Kuwabara, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Journal Title

      hysics Procedia

      Volume: 36 Pages: 229-234

    • DOI

      10.1016/j.phpro.2012.06.151

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Experimental Demonstration of an Operand Routing Network Prototype Employing Clock Control and Data Synchronization Scheme2012

    • Author(s)
      Irina Kataeva, Hiroyuki Akaike, Akira Fujimaki, Nobuyuki Yoshikawa, Naofumi Takagi
    • Journal Title

      Physics Procedia

      Volume: 36 Pages: 349-353

    • DOI

      10.1016/j.phpro.2012.06.243

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] 高速情報処理を実現する単一磁束量子(SFQ)回路2012

    • Author(s)
      吉川信行
    • Journal Title

      未来材料

      Volume: 12 No. 8 Pages: 1-4

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Design and Evaluation of Multi-Flux-Quantum Drivers Using Under-Damped Josephson Junctions2011

    • Author(s)
      D.Ozawa, Y.Yamanashi, N.Yoshikawa
    • Journal Title

      IEEE Trans.Appl.Superconductivity

      Volume: vol.21 Issue: 3 Pages: 835-838

    • DOI

      10.1109/tasc.2010.2096453

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] High-Speed Test of a Radix-2 Butterfly Processing Element for Fast Fourier Transforms Using SFQ Circuits2011

    • Author(s)
      F.Miyaoka, T.Kainuma, Y.Shimamura, Y.Yamanashi, N.Yoshikawa
    • Journal Title

      IEEE Trans.Appl.Superconductivity

      Volume: vol.21 Issue: 3 Pages: 823-826

    • DOI

      10.1109/tasc.2010.2094175

    • NAID

      110008094785

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] 100-GHz Single-Flux-Quantum Bit-Serial Adder Based on 10-kA/cm^2 Niobium Process2011

    • Author(s)
      M.Tanaka, H.Akaike, A.Fujimaki, Y.Yamanashi, N.Yoshikawa, S.Nagasawa, K.Takagi, N.Takagi
    • Journal Title

      IEEE Trans.Appl.Superconductivity

      Volume: vol.21 Issue: 3 Pages: 792-796

    • DOI

      10.1109/tasc.2010.2101034

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Design Approach of Dynamically Reconfigurable Single Flux Quantum Logic Gates2011

    • Author(s)
      Y.Yamanashi, I.Okawa, N.Yoshikawa
    • Journal Title

      IEEE Trans.Appl.Superconductivity

      Volume: vol.21 Issue: 3 Pages: 831-834

    • DOI

      10.1109/tasc.2010.2090856

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Design and Implementation of Component Circuits of an SFQ Half-Precision Floating-Point Adder Using 10-kA/cm(2) Nb Process2011

    • Author(s)
      T.Kainuma, Y.Shimamura, F.Miyaoka, Y.Yamanashi, N.Yoshikawa, A.Fujimaki, K.Takagi, N.Takagi, S.Nagasawa
    • Journal Title

      IEEE Trans.Appl.Supercondvictivity

      Volume: vol.21 Issue: 3 Pages: 827-830

    • DOI

      10.1109/tasc.2010.2096374

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Integration of Optical Waveguides With Single Flux Quantum Circuits2011

    • Author(s)
      Y.Arita, N.Yoshikawa, T.Baba
    • Journal Title

      IEEE Trans.Appl.Superconductivity

      Volume: vol.21 Issue: 3 Pages: 839-842

    • DOI

      10.1109/tasc.2010.2095813

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] 超伝導検出器が拓く新分野2011

    • Author(s)
      吉川信行
    • Journal Title

      電学論A

      Volume: Vol.131, No.1 Pages: 34-37

    • NAID

      10027636141

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Clock Line Considerations for an SFQ Large Scale Reconfigurable Data Paths Processor2011

    • Author(s)
      I.Kataeva, H.Akaike, A.Fujimaki, N.Yoshikawa, S.Nagasawa, N.Takagi
    • Journal Title

      IEEE Trans.Appl.Superconductivity

      Volume: vol.21 Issue: 3 Pages: 809-813

    • DOI

      10.1109/tasc.2010.2092402

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] Demonstration of 30 Gbit/s Generation of Superconductive True Random Number Generator2011

    • Author(s)
      T.Sugiura, Y.Yamanashi, N.Yoshikawa
    • Journal Title

      IEEE Trans.Appl.Superconductivity

      Volume: vol.21 Issue: 3 Pages: 843-846

    • DOI

      10.1109/tasc.2010.2092401

    • NAID

      120006382468

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Journal Article] 超伝導回路用メモリ技術の現状と展望2010

    • Author(s)
      吉川信行
    • Journal Title

      まぐね vol.5

      Pages: 12-18

    • NAID

      10026280891

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] New delay-time measurements on a 64 kb Josephson-CMOS hybrid memory with 600 ps access time2010

    • Author(s)
      K. Fujiwara, Q. Liu, T. Van Duzer, X. Meng, N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Superconductivity vol.20

      Pages: 14-20

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] New delay-time measurements on a 64 kb Josephson-CMOS hybrid memory with 600 ps access time2010

    • Author(s)
      K. Fujiwara, Q. Liu, T. Van Duzer, X. Meng, N. Yoshikawa
    • Journal Title

      IEEE Trans. Appl. Superconductivity vol.20

      Pages: 14-20

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Access Time Measurement of 64-kb Josephson-CMOS Hybrid Memories using SFQ Time-to-Digital Converter2010

    • Author(s)
      Y. Okamoto, H. Jin, K. Yaguchi, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEICE Electron. Express vol.7

      Pages: 320-325

    • NAID

      130000254642

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Access Time Measurement of 64-kb Josephson-CMOS Hybrid Memories using SFQ Time-to-Digital Converter2010

    • Author(s)
      Y. Okamoto, H. Jin, K. Yaguchi, Y. Yamanashi, N. Yoshikawa
    • Journal Title

      IEICE Electron. Express vol.7

      Pages: 320-325

    • NAID

      130000254642

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] 超伝導回路用メモリ技術の現状と展望2010

    • Author(s)
      吉川信行
    • Journal Title

      まぐね vol.5

      Pages: 12-18

    • NAID

      10026280891

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] SFQ pulse transfer circuits using inductive coupling for current recycling2009

    • Author(s)
      M. Igarashi, K. Churei, N. Yoshikawa, K. Fujiwara, Y. Hashimoto
    • Journal Title

      IEEE Trans. Appl. Superconductivity vol.19

      Pages: 649-652

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] 3D simulation of superconducting microwave devices with an electromagneticfield simulator2009

    • Author(s)
      N. Takeuchi, Y. Yamanashi, Y. Saito, N. Yoshikawa
    • Journal Title

      Physica C vol.469

      Pages: 1662-1665

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] 3D simulation of superconducting microwave devices with an electromagneticfield simulator2009

    • Author(s)
      N. Takeuchi, Y. Yamanashi, Y. Saito, N. Yoshikawa
    • Journal Title

      Physica C vol.469

      Pages: 1662-1665

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Design and Implementation of SFQ Half-Precision Floating-Point Adders2009

    • Author(s)
      H. Park, Y. Yamanashi, K. Taketomi, N. Yoshikawa, M. Tanaka, K. Obata, Y. Itou, A. Fujimaki, N. Takagi, K. Takagi, S. Nagasawa
    • Journal Title

      IEEE Trans. Appl. Superconductivity vol.19

      Pages: 634-639

    • NAID

      110006596212

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Design and Implementation of SFQ Half-Precision Floating-Point Adders2009

    • Author(s)
      H. Park, Y. Yamanashi, K. Taketomi, N. Yoshikawa, M. Tanaka, K. Obata, Y. Itou, A. Fujimaki, N. Takagi, K. Takagi, S. Nagasawa
    • Journal Title

      IEEE Trans. Appl. Superconductivity vol.19

      Pages: 634-639

    • NAID

      110006596212

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] SFQ pulse transfer circuits using inductive coupling for current recycling2009

    • Author(s)
      M. Igarashi, K. Churei, N. Yoshikawa, K. Fujiwara, Y. Hashimoto
    • Journal Title

      IEEE Trans. Appl. Superconductivity vol.19

      Pages: 649-652

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] 単一磁束量子回路を用いた超高速マイクロプロセッサの開発とその展望2008

    • Author(s)
      吉川信行
    • Journal Title

      電子情報通信学会論文誌C vol.J91-C

      Pages: 183-193

    • NAID

      110007379989

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] 単一磁束量子回路を制御回路とする量子計算システム2008

    • Author(s)
      吉川信行
    • Journal Title

      応用物理 78

      Pages: 22-26

    • NAID

      10023996432

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters2008

    • Author(s)
      K. Nakamiya, N. Yoshikawa, A. Fujimaki, H. Terai, Y. Hashimoto
    • Journal Title

      IEICE Electronics Express Vol.5,No.9

      Pages: 332-337

    • NAID

      130000087191

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters2008

    • Author(s)
      K. Nakamiya, N. Yoshikawa, A. Fujimaki, H. Terai, Y. Hashimoto
    • Journal Title

      IEICE Electronics Express Vol.5 No.9

      Pages: 332-337

    • NAID

      130000087191

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] Novel serial-parallel converter using SFQ logic circuits2008

    • Author(s)
      H. Park, Y. Yamanashi, K. Taketomi, N. Yoshikawa, A. Fujimaki, N. Takagi
    • Journal Title

      Physica C vol.468

      Pages: 1977-1982

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] 単一磁束量子回路を用いた超高速マイクロプロセッサの開発とその展望2008

    • Author(s)
      吉川信行
    • Journal Title

      電子情報通信学会論文誌C J91-C

      Pages: 183-193

    • NAID

      110007379989

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] 単一磁束量子回路を制御回路とする量子計算システム2008

    • Author(s)
      吉川信行
    • Journal Title

      応用物理 78

      Pages: 22-26

    • NAID

      10023996432

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Novel serial-parallel converter using SFQ logic circuits2008

    • Author(s)
      H. Park, Y. Yamanashi, K. Taketomi, N. Yoshikawa, A. Fujimaki, N. Takagi
    • Journal Title

      Physica C vol.468

      Pages: 1977-1982

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters2008

    • Author(s)
      K. Nakamiya, N. Yoshikawa, A. Fujimaki, H. Terai, Y. Hashimoto
    • Journal Title

      IEICE Electronics Express Vol.5,No.9

      Pages: 332-337

    • NAID

      130000087191

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Direct measurements of propagation delay of single-flux-quantum circuits by time-to-digital converters2008

    • Author(s)
      K. Nakamiya, N. Yoshikawa, A. Fujimaki, H. Terai, Y. Hashimoto
    • Journal Title

      IEICE Electronics Express Vol. 5, No. 9,

      Pages: 332-337

    • NAID

      130000087191

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] 単一磁束量子回路を用いた超高速マイクロプロセッサの開発とその展望2008

    • Author(s)
      吉川信行
    • Journal Title

      電子情報通信学会論文誌C J91-C

      Pages: 183-193

    • NAID

      110007379989

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] 単一磁束量子回路を用いた超高速マイクロプロセッサの開発とその展望2008

    • Author(s)
      吉川信行
    • Journal Title

      電子情報通信学会論文誌C vol.J91-C

      Pages: 183-193

    • NAID

      110007379989

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Study of LR-Loading Technique for Low-Power Single Flux Quantum Circuits2007

    • Author(s)
      Y. Yamanashi, T. Nishigai, N. Yoshikawa
    • Journal Title

      IEEE Trans. Applied Superconductivity vol.17

      Pages: 150-153

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] 単一磁束量子回路を用いた低消費電力情報機器2007

    • Author(s)
      吉川信行
    • Journal Title

      電子情報通信学会誌 90

      Pages: 213-219

    • NAID

      110006240361

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Design and Implementation of a Fully Asynchronous SFQ Microprocessor : SCRAM22007

    • Author(s)
      Y. Nobumori, T. Nishigai, K. Nakamiya, N. Yoshikawa, A. Fujimaki, H. Terai, S. Yorozu
    • Journal Title

      IEEE Trans. Applied Superconductivity vol. 17

      Pages: 478-481

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] Bit-Error-Rate Measurements of RSFQ Shift Register Memories2007

    • Author(s)
      T. Hikida, K. Fujiwara, N. Yoshikawa, A. Fujimaki, H. Terai, S. Yorozu
    • Journal Title

      IEEE Trans. Applied Superconductivity vol.17

      Pages: 512-515

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Design and Implementation of a Pipelined Bit-Serial SFQ Microprocessor, CORE1beta2007

    • Author(s)
      Y. Yamanashi, M. Tanaka, A. Akimoto, H. Park, Y. Kamiya, N. Irie, N. Yoshikawa, A. Fujimaki, H. Terai, Y. Hashimoto
    • Journal Title

      IEEE Trans. Applied Superconductivity vol.17

      Pages: 474-477

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Study of LR-Loading Technique for Low-Power Single Flux Quantum Circuits2007

    • Author(s)
      Y. Yamanashi, T. Nishigai, N. Yoshikawa
    • Journal Title

      IEEE Trans.Applied Superconductivity vol. 17

      Pages: 150-153

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] Bit-Error -Rate Measurements of RSFQ Shift Register Memories2007

    • Author(s)
      T. Hikida, K. Fujiwara, N. Yoshikawa, A. Fujimaki, H. Terai, S. Yorozu
    • Journal Title

      IEEE Trans. Applied Superconductivity. vol.17

      Pages: 512-515

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Design and Implementation of a Fully Asynchronous SFQ Microprocessor: SCRAM22007

    • Author(s)
      Y. Nobumori, T. Nishigai, K. Nakamiya, N. Yoshikawa, A. Fujimaki, H. Terai, S. Yorozu
    • Journal Title

      IEEE Trans. Applied Superconductivity vol.17

      Pages: 478-481

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Bit-Error-Rate Measurements of RSFQ Shift Register Memories2007

    • Author(s)
      T. Hikida, K. Fujiwara, N. Yoshikawa, A. Fujimaki, H. Terai, S. Yorozu
    • Journal Title

      EEE Trans. Applied Superconductivity vol.17

      Pages: 512-515

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Bit-Error-Rate Measurements of RSFQ Shift Register Memories2007

    • Author(s)
      T. Hikida, K. Fujiwara, N. Yoshikawa, A. Fujimaki, H. Terai, S. Yorozu
    • Journal Title

      IEEE Trans. Applied Superconductivity vol. 17

      Pages: 512-515

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] 単一磁束量子回路を用いた低消費電力情報機器2007

    • Author(s)
      吉川信行
    • Journal Title

      電子情報通信学会誌 90

      Pages: 213-219

    • NAID

      110006240361

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Design and Implementation of a Pipelined Bit-Serial SFQ Microprocessor, CORE1beta2007

    • Author(s)
      Y. Yamanashi, M. Tanaka, A. Akimoto, H. Park, Y. Kamiya, N. Irie, N. Yoshikawa, A. Fujimaki, H. Terai, Y. Hashimoto
    • Journal Title

      IEEE Trans. Applied Superconductivity vol.17

      Pages: 474-477

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Design and Implementation of a Fully Asynchronous SFQ Microprocessor: SCRAM22007

    • Author(s)
      Y. Nobumori, T. Nishigai, K. Nakamiya, N. Yoshikawa, A. Fujimaki, H. Terai, S. Yorozu
    • Journal Title

      IEEE Trans. Applied Superconductivity vol.17

      Pages: 478-481

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Study of LR-Loading Technique for Low-Power Single Flux Quantum Circuits2007

    • Author(s)
      Y. Yamanashi, T. Nishigai, N. Yoshikawa
    • Journal Title

      IEEE Trans. Applied Superconductivity vol.17

      Pages: 150-153

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Design and Implementation of a Fully Asynchronous SFQ Microprocessor : SCRAM22007

    • Author(s)
      Y. Nobumori, T. Nishigai, K. Nakamiya, N. Yoshikawa, A. Fujimaki, H. Terai, S. Yorozu
    • Journal Title

      IEEE Trans. Applied Superconductivity vol. 17

      Pages: 478-481

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] On-chip microwave generator for manipulation of superconductive quantum bits2006

    • Author(s)
      Y.Yamanashi, T.Asano, N.Yoshikawa
    • Journal Title

      Physica C 445-448

      Pages: 967-970

    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Design and implementation of low-power SFQ circuits using LR-load biasing technique2006

    • Author(s)
      T. Nishigai, S. Yamada, N. Yoshikawa
    • Journal Title

      Physica C vol. 445-448

      Pages: 1029-1033

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] Design and implementation of low-power SFQ circuits using LR-load biasing technique2006

    • Author(s)
      T.Nishigai, S.Yamada, N.Yoshikawa
    • Journal Title

      Physica C 445-448

      Pages: 1029-1033

    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Design and imnplementation of low-power SFQ circuits using LR-load biasing technique2006

    • Author(s)
      T.Nishigai, S.Yamada, N.Yoshikawa
    • Journal Title

      Physica C 445-448

      Pages: 1029-1033

    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] Single-flux-quantum integer multiplier with systolic array structure2006

    • Author(s)
      K.Obata, M.Tanaka, Y.Tashiro, Y.Kamiya, N.Irie, K.Takagi, N.Takagi, A.Fujimaki, N.Yoshikawa, H.Terai, S.Yorozu
    • Journal Title

      Physica C 445-448

      Pages: 1014-1019

    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] A new design approach for control circuits of pipelined single-flux-quantum microprocessors2006

    • Author(s)
      Y. Yamanashi, A. Akimoto, N. Yoshikawa, M. Tanaka, T. Kawamoto, Y. Kamiya, A. Fujimaki, H. Terai, S. Yorozu
    • Journal Title

      Supercond. Sci. Technol. 19

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Signal integrity in large-scale single-flux-quantum circuit2006

    • Author(s)
      H.Terai, S.Yorozu, A.Fujimaki, N.Yoshikawa, Z.Wang
    • Journal Title

      Physica C 445-448

      Pages: 1003-1007

    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] 40-GHz operation of a single-flux-quantum (SFQ) 4 x 4 switch scheduler2006

    • Author(s)
      Y.Kameda, S.Yorozu, Y.Hashimoto, H.Terai, A.Fujimaki, N.Yoshikawa
    • Journal Title

      Physica C 445-448

      Pages: 1008-1013

    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] Single-flux-quantum integer multiplier with systolic array structure2006

    • Author(s)
      K.Obata, M.Tanaka, Y.Tashiro, Y.Kamiya, N.Irie, K.Takagi, N.Takagi, A.Fujimaki, N.Yoshikawa, H.Terai, S.Yorozu
    • Journal Title

      Physica C 445-448

      Pages: 1014-1019

    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] Single-flux-quantum integer multiplier with systolic array structure2006

    • Author(s)
      K.Obata, M.Tanaka, Y.Tashiro, Y.Kamiya, N.Irie, K.Takagi, N.Takagi, A.Fujimaki, N.Yoshikawa, H.Terai, S.Yorozu
    • Journal Title

      Physica C 445-448

      Pages: 1014-1019

    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] On-chip microwave generator for manipulation of superconductive quantum bits2006

    • Author(s)
      Y. Yamanashi, T. Asano, N. Yoshikawa
    • Journal Title

      Physica C vol.445-448

      Pages: 967-970

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] Access time measurements of Josephson-CMOS hybrid memory using single-flux-quantum circuits2006

    • Author(s)
      N. Yoshikawa, M. Tokuda, T. Tomida, H. Kojima, K. Fujiwara, Q. Liu, T. Van Duzer
    • Journal Title

      Supercond. Sci. Technol. 19

    • NAID

      120000920859

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] On-chip microwave generator for manipulation of superconductive quantum bits2006

    • Author(s)
      Y.Yamanashi, T.Asano, N.Yoshikawa
    • Journal Title

      Physica C 445-448

      Pages: 967-970

    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Signal integrity in large-scale single-flux-quantum circuit2006

    • Author(s)
      H.Terai, S.Yorozu, A.Fujimaki, N.Yoshikawa, Z.Wang
    • Journal Title

      Physica C 445-448

      Pages: 1003-1007

    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] A new design approach for control circuits of pipelined single-flux-quantum microprocessors2006

    • Author(s)
      Y. Yamanashi, A. Akimoto, N. Yoshikawa, M. Tanaka, T. Kawamoto, Y. Kamiya, A. Fujimaki, H. Terai, S. Yorozu
    • Journal Title

      Supercond. Sci. Technol. 19

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Signal integrity in large-scale single-flux-quantum circuit2006

    • Author(s)
      H.Terai, S.Yorozu, A.Fujimaki, N.Yoshikawa, Z.Wang
    • Journal Title

      Physica C 445-448

      Pages: 1003-1007

    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] 40-GHz operation of a single-flux-quantum (SFQ) 4 x 4 switch scheduler2006

    • Author(s)
      Y.Kameda, S.Yorozu, Y.Hashimoto, H.Terai, A.Fujimaki, N.Yoshikawa
    • Journal Title

      Physica C 445-448

      Pages: 1008-1003

    • Data Source
      KAKENHI-PROJECT-18080005
  • [Journal Article] Design and implementation of low-power SFQ circuits using LR-load biasing technique2006

    • Author(s)
      T.Nishigai, S.Yamada, N.Yoshikawa
    • Journal Title

      Physica C 445-448

      Pages: 1029-1033

    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] 40-GHz operation of a single-flux-quantum (SFQ) 4 x 4 switch scheduler2006

    • Author(s)
      Y.Kameda, S.Yorozu, Y.Hashimoto, H.Terai, A.Fujimaki, N.Yoshikawa
    • Journal Title

      Physica C 445-448

      Pages: 1008-1003

    • Data Source
      KAKENHI-PROJECT-18080006
  • [Journal Article] On-chip microwave generator for manipulation of superconductive quantum bits2006

    • Author(s)
      Y.Yamanashi, T.Asano, N.Yoshikawa
    • Journal Title

      Physica C 445-448

      Pages: 967-970

    • Data Source
      KAKENHI-PROJECT-18360166
  • [Journal Article] Access time measurements of Josephson-CMOS hybrid memory using single-flux-quantum circuits2006

    • Author(s)
      N. Yoshikawa, M. Tokuda1, T. Tomida, H. Kojima, K. Fujiwara, Q. Liu, T. Van Duzer
    • Journal Title

      Supercond. Sci. Technol. 19

    • NAID

      120000920859

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Patent] 断熱型量子磁束パラメトロン回路及び超伝導論理素子2015

    • Inventor(s)
      吉川信行、竹内尚輝
    • Industrial Property Rights Holder
      吉川信行、竹内尚輝
    • Industrial Property Rights Type
      特許
    • Industrial Property Number
      2015-081036
    • Filing Date
      2015-04-10
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Patent] 超伝導集積回路装置2014

    • Inventor(s)
      吉川信行、鈴木秀雄
    • Industrial Property Rights Holder
      吉川信行、鈴木秀雄
    • Industrial Property Rights Type
      特許
    • Filing Date
      2014-09-05
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 確率的演算に基づく断熱超伝導ニューロン回路の開発2024

    • Author(s)
      羅 文輝, 竹内 尚輝, 陳 オリビア, 吉川 信行
    • Organizer
      2024年電子情報通信学会総合大会
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] Demonstration of a Superconducting Stochastic Memory for Stochastic Computing Systems2023

    • Author(s)
      W. Luo, N. Takeuchi, O. Chen, N. Yoshikawa
    • Organizer
      The 11th East Asia Symposium on Superconductor Electronics (EASSE-2023), Okayama Convention Center, Okayama, Japan, March 27-29, 2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 熱平衡型超伝導ストカスティックメモリの提案2023

    • Author(s)
      羅 文輝, 竹内 尚輝, 陳 オリビア, 吉川 信行
    • Organizer
      2023年電子情報通信学会総合大会
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] C Moat Design and Analysis for AQFP Circuits2023

    • Author(s)
      L. Schindler, C. L. Ayala, N. Yoshikawa
    • Organizer
      The 11th East Asia Symposium on Superconductor Electronics (EASSE-2023), Okayama Convention Center, Okayama, Japan, March 27-29, 2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] SFQ-CMOSハイブリッドシステムを用いたニューラルネットワークオンチップ学習2023

    • Author(s)
      沈 泓翔、李 宗元、山梨裕希、吉川信行
    • Organizer
      2023年第70回応用物理学会春季学術講演会、 16p-D215-12、上智大学四谷キャンパス(東京都)、202年3月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] High-speed Josephson-CMOS interface circuits applied in the hybrid memory2023

    • Author(s)
      H. Shen, Z. Li, C. L. Ayala, Z. Han, N. Yoshikawa
    • Organizer
      The 11th East Asia Symposium on Superconductor Electronics (EASSE-2023), Okayama Convention Center, Okayama, Japan, March 27-29, 2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロンを用いた論理ゲートのタイミング特性評価2023

    • Author(s)
      星加 優、吉川信行、Christopher L. Ayala
    • Organizer
      2023年電子情報通信学会総合大会、C-8-8、芝浦工業大学大宮キャンパス(埼玉県)、2023年3月10日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] A Study on the Neural Network Processing Units Using Adiabatic Quantum-Flux-Parametron Superconducting Technology2023

    • Author(s)
      Mengmeng Wang、Olivia Chen、Nobuyuki Yoshikawa
    • Organizer
      2023年電子情報通信学会総合大会、C-8-9、芝浦工業大学大宮キャンパス(埼玉県)、2023年3月10日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 大規模確率的演算システムに向けた超伝導ストカスティックメモリの設計2023

    • Author(s)
      羅 文輝, 竹内 尚輝, 陳 オリビア, 吉川 信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会SCE2023
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] ゼロ静的消費電力で動作するシグモイド関数生成器の開発2023

    • Author(s)
      羅 文輝, 竹内 尚輝, 陳 オリビア, 吉川 信行
    • Organizer
      2023年電子情報通信学会ソサイエティ大会
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] Recent Research on AQFP-Based Accelerator Design2023

    • Author(s)
      O. Chen, N. Takeuchi, R. Zhang, Y. Wang, and N. Yoshikawa
    • Organizer
      36th International Symposium on Superconductivity (ISS 2023)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] Demonstration of a stochastic memory for stochastic computing-based AQFP circuit2023

    • Author(s)
      W. Luo, N. Takeuchi, O. Chen, and N. Yoshikawa
    • Organizer
      16th European Conference on Applied Superconductivity (EUCAS 2023)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] Design of an SFQ Regular Expression Supported Pattern Matching Circuit for a Network Intrusion Detection System2023

    • Author(s)
      T. Suzuki, K. Akizuki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 11th East Asia Symposium on Superconductor Electronics (EASSE-2023), Okayama Convention Center, Okayama, Japan, March 27-29, 2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 熱平衡型超伝導ストカスティックメモリの提案2023

    • Author(s)
      羅 文輝、竹内尚輝、陳 オリビア、吉川信行
    • Organizer
      2023年電子情報通信学会総合大会、C-8-7、芝浦工業大学大宮キャンパス(埼玉県)、2023年3月10日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Demonstration of High-Speed Operation of a Majority-Booster Gate in Adiabatic Quantum-Flux-Parametron Circuits2023

    • Author(s)
      W. Komiya, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 11th East Asia Symposium on Superconductor Electronics (EASSE-2023), Okayama Convention Center, Okayama, Japan, March 27-29, 2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Comprehensive Overview for Data Transmission in AQFP Circuits2023

    • Author(s)
      M. A. Johnston, C. L. Ayala, N. Yoshikawa
    • Organizer
      The 11th East Asia Symposium on Superconductor Electronics (EASSE-2023), Okayama Convention Center, Okayama, Japan, March 27-29, 2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 1kA/cm2 Josephson集積回路プロセスを用いた量子ビット操作用出力振幅可変マイクロ波生成器の設計2023

    • Author(s)
      佐々木 修、竹内尚樹、山梨裕希、吉川信行
    • Organizer
      2023年第70回応用物理学会春季学術講演会、 16p-D215-11、上智大学四谷キャンパス(東京都)、202年3月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design of a variable output amplitude microwave generator using ERSFQ circuit for qubits control2023

    • Author(s)
      S. Sasaki, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 11th East Asia Symposium on Superconductor Electronics (EASSE-2023), Okayama Convention Center, Okayama, Japan, March 27-29, 2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] ジョセフソンインダクタンスを用いた小型AQFP回路の提案2023

    • Author(s)
      鷹野 瞭、竹内尚輝、吉川信行
    • Organizer
      2023年第70回応用物理学会春季学術講演会、 16p-D215-14、上智大学四谷キャンパス(東京都)、202年3月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Demonstration of a Superconducting Stochastic Memory for Stochastic Computing Systems2023

    • Author(s)
      W. Luo, N. Takeuchi, O. Chen, and N. Yoshikawa
    • Organizer
      11th East Asia Symposium on Superconductive Electronics (EASSE 2023)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] π位相シフトジョセフソン接合を用いた直接結合型量子磁束パラメトロンロジックの設計2023

    • Author(s)
      小宮 航、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      023年電子情報通信学会総合大会、C-8-6、芝浦工業大学大宮キャンパス(埼玉県)、2023年3月10日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 磁束量子パラメトロンを用いたランダムアクセスメモリセルの動作マージン拡大2022

    • Author(s)
      水島直哉、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      令和4年基礎・材料・共通部門大会、14-B-a2-4、西之表市民会館(鹿児島県)、2022年 9月14日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路における 5 入力多数決論理ゲートを用いた 8-bit Kogge-Stone 加算器の設計と評価2022

    • Author(s)
      齋藤理彩子、田中智之、Christopher Ayala、吉川信行
    • Organizer
      2022年電子情報通信学会総合大会、C-8-12、オンライン、2022年3月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Compact RSFQ/AQFP Interface with a Self-Resetting Storage Loop2022

    • Author(s)
      M. Naruse, N. Takeuchi, and N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] Variable output microwave generator using ERSFQ circuits for qubits control2022

    • Author(s)
      S. Sasaki, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-14
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Extremely energy‐efficient integrated circuit technology beyond the thermodynamic limit2022

    • Author(s)
      Nobuyuki Yoshikawa1, Taiki Yamae, Naoki Takeuchi
    • Organizer
      The 35th International Symposium on Superconductivity (ISS2022), WINC-AICHI, Nagoya, Japan, November 29-December 1, 2022, ED7-2-INV
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] セルフリセット型RSFQ/AQFPインターフェース回路の設計と評価2022

    • Author(s)
      成瀬 幹哉、竹内 尚輝、吉川 信行
    • Organizer
      2022年第69回応用物理学会春季学術講演会、23p-D214-17、青山学院大学相模原キャンパス(神奈川県)、2022年 3月23日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 【招待講演】断熱量子磁束パラメトロン回路におけるMajority-Boosterゲートとその応用2022

    • Author(s)
      小宮 航、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2022-13、機械振興会館、2023年1月13日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路におけるMajority-boosterゲートを用いた全加算器の設計と乗算器への適用2022

    • Author(s)
      小宮 航、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2022年電子情報通信学会ソサイエティ大会、C-8-1、オンライン、2022年9月7日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路の入力オフセット電流分布の評価2022

    • Author(s)
      松永大和、吉川信行
    • Organizer
      令和4年基礎・材料・共通部門大会、14-B-a2-3、西之表市民会館(鹿児島県)、2022年 9月14日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 磁束ストレージループを用いたストカスティックメモリの動作実証2022

    • Author(s)
      羅 文輝, 竹内 尚輝, 陳 オリビア, 吉川 信行
    • Organizer
      第83回応用物理学会秋季学術講演会
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] Non-Linear Function Generator Using Stochastic Superconductive Circuits2022

    • Author(s)
      O. Chen, R. Zhang, W. Luo, N. Takeuchi, and N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference 2022 (ASC 2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] Design and evaluation of a self-resetting RSFQ/AQFP interface2022

    • Author(s)
      M. Naruse, N. Takeuchi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 1EPo2E-08
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Investigation of power consumption of adiabatic quantum-flux-parametron Kogge-Stone adder circuits by using 5-input majority gate2022

    • Author(s)
      Tanaka Tomoyuki, Ayala Christopher, Nobuyuki Yoshikawa
    • Organizer
      令和4年電気学会基礎・材料・共通部門大会、14-B-a1-5、西之表市民会館(鹿児島県)、2022年9月14日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路を用いた乗算器の設計2022

    • Author(s)
      高木翔平、L.Ayala Christopher、吉川信行
    • Organizer
      2022年電子情報通信学会総合大会、C-8-13、オンライン、2022年3月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロンを用いた位相判別回路の入力感度向上を目的とした共振器の設計と評価2022

    • Author(s)
      永松義瑛、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-22-017、ASC-22-022、ちよだプラットフォームスクエア本館、2022年11月15日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] A sigmoid function generator using stochastic computing-based adiabatic quantum-flux-parametron logic2022

    • Author(s)
      W. Luo, N. Takeuchi, O. Chen, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Pulse-density-modulated microwave generator using single-flux-quantum circuits for controlling qubits2022

    • Author(s)
      A. Kunihiro, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 3ESpreOr4-07
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路における長距離信号伝送の安定化と動作実証2022

    • Author(s)
      水島直哉、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2022年電子情報通信学会総合大会、C-8-9、オンライン、2022年3月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路における Majority - booster 回路の動作安定化と回路面積の削減2022

    • Author(s)
      小宮 航、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      “断熱量子磁束パラメトロン回路における Majority - booster 回路の動作安定化と回路面積の削減”、令和4年基礎・材料・共通部門大会、14-B-a2-2、西之表市民会館(鹿児島県)、2022年 9月14日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 正規表現対応SFQパターンマッチング回路のための状態遷移ユニットの設計2022

    • Author(s)
      鈴木琢也、吉川信行、秋月一真、山梨祐希
    • Organizer
      2022年電子情報通信学会総合大会、C-8-8、オンライン、2022年3月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路を用いた乗算器の設計2022

    • Author(s)
      高木翔平、田中智之、アヤラ クリストファー、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2022-1、オンライン、2022年8月2日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Demonstration of 32-Gbps readout in a Josephson-CMOS hybrid memory2022

    • Author(s)
      Y. Hironaka, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 3ESpreOr4-07
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and component demonstration of a SFQ complex event detector corresponding to the regular expression2022

    • Author(s)
      T. Suzuki, K. Akizuki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 4EOr2A
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 量子ビット制御用ERSFQ回路を用いた出力振幅可変マイクロ波生成器2022

    • Author(s)
      佐々木修、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-22-013、ASC-22-018、ちよだプラットフォームスクエア本館、2022年11月15日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Bit-error-rate measurement of a Josephson latching driver using 10-kA/cm2 Nb process2022

    • Author(s)
      Y. Hironaka, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-10
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Sigmoid Function Generator Based on Stochastic Computing Using Superconducting Circuits2022

    • Author(s)
      W. Luo, N. Takeuchi, O. Chen, and N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] 単一磁束量子回路を用いた正規表現対応パターンマッチング回路の設計2022

    • Author(s)
      鈴木琢也、秋月一真、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2022-2、オンライン、2022年8月2日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Adopting a standard track routing architecture for next-generation hybrid ac/dc-biased logic circuits2022

    • Author(s)
      L. Schindler, C. L. Ayala, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-11
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] A Function Block Designed for Neural Network Processing Unit Using Adiabatic Quantum-Flux-Parametron Superconducting Technology2022

    • Author(s)
      M. Wang, O. Chen, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-26
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 単一磁束量子回路における多数決論理ゲートの設計と評価2022

    • Author(s)
      瀬川亮輔、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-22-016、ASC-22-021、ちよだプラットフォームスクエア本館、2022年11月15日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 単一磁束量子回路を用いた量子ビット制御用パルス密度変調マイクロ波生成器に関する研究2022

    • Author(s)
      国広 皓、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2022-4、オンライン、2022年8月2日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 駆動力を強化したAQFP Majorityゲートの性能評価2022

    • Author(s)
      小宮航、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2022年電子情報通信学会総合大会、C-8-10、オンライン、2022年3月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Analysis and Stabilisation of Signal Reflections in Gate-to-Gate Connections for AQFP Circuits2022

    • Author(s)
      M. Johnston, C. L. Ayala, T. Tanaka, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 2EPo2F-10
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and analysis of Energy-Efficient Adiabatic Quantum-Flux-Parametron Multiplier Families2022

    • Author(s)
      T. Tanaka, C. L. Ayala, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-7
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路を用いたランダムアクセスメモリセルの駆動2022

    • Author(s)
      水島直哉、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2022年電子情報通信学会ソサイエティ大会、C-8-3、オンライン、2022年9月7日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design of a hybrid superconductor logic computation system using single flux quantum and adiabatic quantum-flux-parametron logic families2022

    • Author(s)
      T. Tanaka, S. S. Meher, C. L. Ayala, Y. Hironaka, A. Sahu, A. Inamdar, D. Gupta, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 4EPo1E-07
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Impedance Transformer Design and Optimization for Data Lines in Adiabatic Quantum Flux Parametron Circuits2022

    • Author(s)
      M. A. Johnston, C. L. Ayala, T. Tanaka, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-9
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路を用いた論理ゲートのタイミング特性評価2022

    • Author(s)
      星加 優、アヤラクリストファー、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-22-014、ASC-22-019、ちよだプラットフォームスクエア本館、2022年11月15日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and evaluation of a self-resetting RSFQ/AQFP interface2022

    • Author(s)
      M. Naruse, N. Takeuchi, and N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference 2022 (ASC 2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] Design of a Reversible Quantum-Flux-Parametron 4-bit Arithmetic Logic Unit2022

    • Author(s)
      T. Yamae, N. Takeuchi, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-6
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Verification of reducing gray zone width using 8-bit AQFP integrator2022

    • Author(s)
      H. Shen, Y. Yamanashi, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-2
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 可逆量子磁束パラメトロン回路を用いた 8-word by 4-bitレジスタファイルの動作実証2022

    • Author(s)
      山栄大樹、竹内尚輝、吉川信行
    • Organizer
      2022年電子情報通信学会ソサイエティ大会、C-8-2、オンライン、2022年9月7日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] SFQ/CMOS ハイブリッドメモリに用いるDC/SFQコンバータの閾値電流の評価2022

    • Author(s)
      森 優也、弘中祐樹、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-22-018、ASC-22-023、ちよだプラットフォームスクエア本館、2022年11月15日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Pulse-density-modulated microwave generator using single-flux-quantum circuits for controlling qubits2022

    • Author(s)
      A. Kunihiro, Y. Yamanashi, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-3
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design of a hybrid superconductor logic computation system using single flux quantum and adiabatic quantum-flux-parametron logic families2022

    • Author(s)
      T. Tanaka, S. S. Meher, C. L. Ayala, Y. Hironaka, A. Sahu, A. Inamdar, D. Gupta, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-23
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Compact RSFQ/AQFP interface with a self-resetting storage loop2022

    • Author(s)
      M. Naruse, N. Takeuchi, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-5
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Extremely energy-efficient superconductive logic circuits based on adiabatic flux quantum devices2022

    • Author(s)
      Nobuyuki Yoshikawa
    • Organizer
      15th Workshop on Low Temperature Electronics (WOLTE15), Matera, Italy, June 6-9, 2022.
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Delay-line clockingを用いた断熱量子磁束パラメトロン 8-bit加算器の動作実証2022

    • Author(s)
      山栄大樹、竹内尚輝、吉川信行
    • Organizer
      2022年電子情報通信学会総合大会、C-8-11、オンライン、2022年3月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] An adiabatic quantum-flux-parametron 8-bit ripple carry adder using delay-line clocking2022

    • Author(s)
      T. Yamae, N. Takeuchi, and N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference 2022 (ASC 2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] 正負の SFQ パルスを用いたマイクロ波ジェネレータの設計2022

    • Author(s)
      沈 泓翔、山梨裕希、吉川信行
    • Organizer
      2022年第83回応用物理学会秋季学術講演会、 22p-A306-2、東北大学川内北キャンパス(宮城県)、2022年9月22日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 磁束ストレージループを用いたストカスティックメモリの動作実証2022

    • Author(s)
      羅 文輝、竹内尚輝、陳 オリビア、吉川信行
    • Organizer
      2022年第83回応用物理学会秋季学術講演会、 22p-A306-5、東北大学川内北キャンパス(宮城県)、2022年9月22日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design of Arithmetic Function Generator Using Adiabatic Quantum-Flux-Parametron Technology2022

    • Author(s)
      O. Chen, R. Zhang, W. Luo, N. Takeuchi, and N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] Adiabatic Quantum-Flux-Parametron Research at YNU-IAS: Moving Towards Large-Scale Highly-Dense and Reliable Integration2022

    • Author(s)
      C. L. Ayala, L. Schindler, M. A. Johnston, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, O-4
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] An adiabatic quantum-flux-parametron 8-bit ripple carry adder using delay-line clocking2022

    • Author(s)
      T. Yamae, N. Takeuchi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 2EPo2F-08
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] An adiabatic quantum-flux-parametron block permutation unit for a superconductor SHA-3 cryptoprocessor2022

    • Author(s)
      C. L. Ayala, T. Tanaka, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 1EOr2B-03.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Sigmoid function generator based on stochastic computing using superconducting circuits2022

    • Author(s)
      W. Luo, N. Takeuchi, O. Chen, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Stabilization and Demonstration of Long-Distance Signal Propagation for Adiabatic Quantum-Flux-Parametron Circuits2022

    • Author(s)
      N. Mizushima, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 1EPo2E-09
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design of Energy-Efficient Adiabatic Quantum-Flux-Parametron Multiplier Families2022

    • Author(s)
      S. Takagi, T. Tanaka, C. L. Ayala, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 4EPo1E-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] A majority gate with a high driving ability for adiabatic quantum-flux-parametron circuits2022

    • Author(s)
      W. Komiya, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and demonstration of a reversible quantum-flux-parametron 8-word by 4-bit register file2022

    • Author(s)
      T. Yamae, N. Takeuchi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 4EOr2A-01
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 超伝導ストレージループを用いたストカスティックメモリの設計と評価2022

    • Author(s)
      羅 文輝、竹内 尚輝、陳 オリビア、吉川 信行
    • Organizer
      2022年第69回応用物理学会春季学術講演会、23p-D214-9、青山学院大学相模原キャンパス(神奈川県)、2022年 3月23日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] A sigmoid function generator using stochastic computing-based adiabatic quantum-flux-parametron logic2022

    • Author(s)
      W. Luo, N. Takeuchi, O. Chen, and N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference 2022 (ASC 2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H00220
  • [Presentation] Scalable Flux Controllers Using Adiabatic Quantum-Flux-Parametron Logic for Quantum Processors2022

    • Author(s)
      N. Takeuchi, T. Yamae, W. Luo, F. Hirayama, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 3ESpeOr4
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] High-Speed and Long-Distance Signal Propagation for Adiabatic-Quantum-Flux Parametron Circuits Using Booster Gate and Parallel Added Resistance2022

    • Author(s)
      N. Mizushima, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-19
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and component demonstration of an a SFQ complex event detector corresponding to the regular expression2022

    • Author(s)
      T. Suzuki, K. Akizuki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      15th Superconducting SFQ VLSI Workshop (SSV 2022), Kyoto Research Park, Kyoto, Japan, September 28-30, 2022, P-4
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路における駆動力を強化した多数決論理ゲートに関する研究2022

    • Author(s)
      小宮 航、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2022-3、オンライン、2022年8月2日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] A majority gate with a high driving ability for adiabatic quantum-flux-parametron circuits2022

    • Author(s)
      W. Komiya, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022, 1EPo2E-10
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路内部の インダクタンスの閉ループに発生する 磁束トラップの抑制に関する研究2022

    • Author(s)
      池田遥哉、吉川信行、竹内尚輝、山梨裕希
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-22-015、ASC-22-020、ちよだプラットフォームスクエア本館、2022年11月15日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Adopting a Standard Track Routing Architecture for Next-Generation Hybrid AC/DC-Biased Logic Circuits2022

    • Author(s)
      L. Schindler, C. L. Ayala, K. Jackman, C. J. Fourie, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2022), Hawaii Convention Center, Honolulu, USA, October 23-November 28, 2022
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 超伝導集積回路光インターコネクションのための量子井戸微小リング光変調器の超低電圧化の設計と作製2021

    • Author(s)
      兼古雄太, 吉川信行, 荒川太郎
    • Organizer
      第69回応用物理学会春季学術講演会
    • Data Source
      KAKENHI-PROJECT-18H01897
  • [Presentation] 超伝導集積回路技術に基づく超省エネルギーコンピューティング:現状と展望2021

    • Author(s)
      吉川信行
    • Organizer
      Computer Security Symposium 2021
    • Invited
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 可逆量子磁束パラメトロン回路を用いた 4-bit算術論理演算器の動作実証2021

    • Author(s)
      山栄大樹、竹内尚輝、吉川信行
    • Organizer
      2021年電子情報通信学会ソサイエティ大会、C-8-8、オンライン、2021年9月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 可逆磁束量子パラメトロン回路の論理合成の最適化に関する研究2021

    • Author(s)
      齋藤蕗生、Christopher L. Ayala、竹内尚輝、山栄大樹、吉川信行
    • Organizer
      2021年電子情報通信学会ソサイエティ大会、C-8-7、オンライン、2021年9月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 超伝導回路によるボルツマンマシンの最尤推定に基づく設計と最適化2021

    • Author(s)
      三宅航平、山梨裕希、吉川信行
    • Organizer
      2021年電子情報通信学会総合大会、C-8-16、オンライン、2021年3月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路の最大配線長増加のためのブースターゲートの動作実証2021

    • Author(s)
      水島直哉、竹内尚樹、山梨裕希、吉川信行
    • Organizer
      2021年電子情報通信学会総合大会、C-8-13、オンライン、2021年3月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路の長距離配線における反射の調査2021

    • Author(s)
      水島直哉、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2021年電子情報通信学会ソサイエティ大会、C-8-5、オンライン、2021年9月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Superconducting Computing: Toward ExtremelyEnergy-Efficient High-Performance Computing2021

    • Author(s)
      Nobuyuki Yoshikawa
    • Organizer
      7th International Conference on Superconductivity and Magnetism (ICSM 2021)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路を用いた浮動小数点加算器の設計2021

    • Author(s)
      田中智之、Christopher L. Ayala、吉川信行
    • Organizer
      2021年電子情報通信学会ソサイエティ大会、C-8-6、オンライン、2021年9月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 超伝導集積回路光インターコネクションのための量子井戸微小リング光変調器の超低電圧化の設計と作製の検討2021

    • Author(s)
      兼古雄太, 吉川信行, 荒川太郎
    • Organizer
      電子情報通信学会Photonic Device Workshop 2021
    • Data Source
      KAKENHI-PROJECT-21K18169
  • [Presentation] AQFP回路を用いた可逆回路の論理合成2021

    • Author(s)
      齋藤蕗生、Christopher L. Ayala、竹内尚樹、山栄大樹、吉川信行
    • Organizer
      2021年電子情報通信学会総合大会、C-8-9、オンライン、2021年3月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Designing and Demonstration of Current Boosters for Adiabatic Quantum-Flux-Parametron Logic2021

    • Author(s)
      Naoya Mizushima, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      令和3年電気学会基礎・材料・共通部門大会、3-D-p1-5、オンライン、2021年9月3日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロンFPGAの動作評価2021

    • Author(s)
      高橋大地、山梨裕希、吉川信行
    • Organizer
      2021年電子情報通信学会ソサイエティ大会、C-8-10、オンライン、2021年9月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロンを用いた8ビット積分回路の動作実証2021

    • Author(s)
      沈 泓翔、竹内 尚輝、山梨 裕希、吉川信行
    • Organizer
      2021年第68回応用物理学会春季学術講演会、18p-Z27-5、オンライン、2021年 3月18日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路用自動設計ツールにおける配線幅・配置最適化2021

    • Author(s)
      高橋大地、竹内尚樹、山梨裕希、吉川信行
    • Organizer
      2021年電子情報通信学会総合大会、C-8-11、オンライン、2021年3月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 超伝導回路によるイジングモデルに基づいた論理ゲートの設計2021

    • Author(s)
      菅原瑠偉、山梨裕希、吉川信行
    • Organizer
      2021年電子情報通信学会総合大会、C-8-15、オンライン、2021年3月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 超伝導集積回路光インターコネクションのための量子井戸微小リング光変調器の超低電圧化の設計と作製の検討2021

    • Author(s)
      兼古雄太, 吉川信行, 荒川太郎
    • Organizer
      電子情報通信学会Photonic Device Workshop 2021
    • Data Source
      KAKENHI-PROJECT-18H01897
  • [Presentation] 超伝導集積回路光インターコネクションのための量子井戸微小リング光変調器の超低電圧化の設計と作製2021

    • Author(s)
      兼古雄太, 吉川信行, 荒川太郎
    • Organizer
      第69回応用物理学会春季学術講演会
    • Data Source
      KAKENHI-PROJECT-21K18169
  • [Presentation] 断熱量子磁束パラメトロン回路のゲート間配線における反射特性の低減2021

    • Author(s)
      浅井和人、竹内尚樹、山梨裕希、吉川信行
    • Organizer
      2021年電子情報通信学会総合大会、C-8-12、オンライン、2021年3月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and evaluation of a shift-register data link for realization of FPGAs using single-flux-quantum circuits2021

    • Author(s)
      Hiroaki Wada, Yuki Yamanashi,Nobuyuki Yoshikawa
    • Organizer
      令和3年電気学会基礎・材料・共通部門大会、3-D-p1-6、オンライン、2021年9月3日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 単一磁束量子回路における微細受動伝送線路の特性評価2021

    • Author(s)
      池戸駿介、山梨裕希、吉川信行
    • Organizer
      2021年電子情報通信学会総合大会
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] 断熱量子磁束パラメトロン回路用自動設計ツールにおける配線幅・配置最適化2021

    • Author(s)
      田中智之、Christopher L. Ayala、竹内尚樹、吉川信行
    • Organizer
      2021年電子情報通信学会総合大会、C-8-10、オンライン、2021年3月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路のゲート間配線における伝送線路効果の検討2021

    • Author(s)
      浅井和人、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2021年電子情報通信学会ソサイエティ大会、C-8-4、オンライン、2021年9月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Investigation of magnetic coupling between a superconducting transmission line and a moat placed in its vicinity2021

    • Author(s)
      Daichi Takahashi, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      令和3年電気学会基礎・材料・共通部門大会、3-D-p1-8、オンライン、2021年9月3日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 矩形励起電流を用いたdelay-line clockingによる断熱量子磁束パラメトロンの動作実証2021

    • Author(s)
      山栄大樹、竹内尚樹、吉川信行
    • Organizer
      2021年電子情報通信学会総合大会、C-8-14、オンライン、2021年3月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路を用いたストカスティック演算に基づく積和演算器の動作実証2021

    • Author(s)
      羅文輝、吉川信行
    • Organizer
      2021年第82回応用物理学会秋季学術講演会、 12p-N403-8、オンライン、2021年9月12日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 量子磁束パラメトロンによるボルツマンマシンの設計と動作点最適化2021

    • Author(s)
      三宅航平、山梨裕希、吉川信行
    • Organizer
      2021年電子情報通信学会ソサイエティ大会、C-8-9、オンライン、2021年9月16日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 機械学習による断熱量子磁束パラメトロン集積回路の配置順序最適化モデルの改良検討2020

    • Author(s)
      山田 剛久、齋藤 蕗生、田中 智之、Ayala Christopher、吉川 信行
    • Organizer
      2020年度春季低温工学・超電導学会、1C-a03、オンライン、2020年 7月28-30日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱型量子磁束パラメトロン回路のゲート間配線の伝送線路効果の検討2020

    • Author(s)
      浅井和人、竹内尚樹、山梨裕希、吉川信行
    • Organizer
      2020年電子情報通信学会総合大会、C-8-14、広島大学東広島キャンパス(広島県)、2020年 3月18日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and Demonstration of a 2-input Multiplexer using Reversible Quantum-Flux-Parametron Logic2020

    • Author(s)
      T. Yamae, N. Takeuchi, N. Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop (SSV 2020), Yokohama, Japan, January 16-17, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 磁束量子を用いた回路技術と量子ビット制御への応用2020

    • Author(s)
      吉川信行
    • Organizer
      応用物理学会シリコンテクノロジー分科会第224回研究集会、オンライン、2020年11月13日
    • Invited
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 超電導回路を用いた省エネルギーコンピューティング2020

    • Author(s)
      吉川 信行
    • Organizer
      令和2年電気学会基礎・材料・共通部門大会、3-A-a1-2、オンライン、2020年9月3日
    • Invited
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] ゲート間配線長を考慮した断熱量子磁束パラメトロン回路のビット誤り率の実験的評価2020

    • Author(s)
      伊東 大樹、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2020年度春季低温工学・超電導学会、1C-p09、オンライン、2020年 7月28-30日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] ゲート間配線を考慮した断熱量子磁束パラメトロン回路のBERの実験的評価2020

    • Author(s)
      伊東大樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2020年第67回応用物理学会春季学術講演会、13a-B408-2、上智大学四谷キャンパス(東京都)、2020年 3月13日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路のグリッドレスチャネル配線による長距離配線2020

    • Author(s)
      田中 智之、AYALA Christopher、吉川 信行
    • Organizer
      2020年度春季低温工学・超電導学会、1C-p02、オンライン、2020年 7月28-30日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and Simulation of Single-Flux-Quantum Multiply-Accumulator2020

    • Author(s)
      Z. Li, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] Investigation of Placement Order Optimization for Adiabatic Quantum-Flux-Parametron Integrated Circuits via Machine Learning2020

    • Author(s)
      T. Yamada, C. L. Ayala, R. Saito, T. Tanaka, N. Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop (SSV 2020), Yokohama, Japan, January 16-17, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Adiabatic Quantum-Flux-Parametron: Recent Progress and Applications2020

    • Author(s)
      N. Takeuchi, C. L. Ayala, O. Chen, Y. He, N. Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop (SSV 2020), Yokohama, Japan, January 16-17, 2020
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] AQFP 順序回路設計のための論理合成自動化法2020

    • Author(s)
      齋藤蕗生、Christopher L. Ayala、Olivia Chen、 田中智之、田村智大、吉川信行
    • Organizer
      2020年電子情報通信学会総合大会、C-8-11、広島大学東広島キャンパス(広島県)、2020年 3月18日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路を用いたバイナリカウンタの動作実証2020

    • Author(s)
      山栄大樹、竹内尚輝、吉川信行
    • Organizer
      2020年第67回応用物理学会春季学術講演会、13a-B408-8、上智大学四谷キャンパス(東京都)、2020年 3月13日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路の配置最適化と配線インダクタンス最適化による集積性の改善2020

    • Author(s)
      田中 智之、Ayala Christopher、吉川 信行
    • Organizer
      2020年度秋季第100回低温工学・超電導学会、1C-a06、京都大学吉田キャンパス(京都府)+オンライン、2020年12月8日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Reversible Quantum-Flux-Parametron2020

    • Author(s)
      N. Yoshikawa
    • Organizer
      Workshop on Physics & Engineering Issues in Adiabatic/Reversible Classical Computing, Online, October 5-9, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 直結式量子磁束パラメトロン回路における配線長の改善2020

    • Author(s)
      石田 椋平、竹内 尚輝、山栄 大樹、吉川 信行
    • Organizer
      2020年第81回応用物理学会秋季学術講演会、8a-Z24-9、オンライン、2020年9月8日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] A 16-bit parallel prefix carry look-ahead Kogge-Stone adder implemented in adiabatic quantum-flux-parametron logic2020

    • Author(s)
      Tomoyuki Tanaka, Christopher L. Ayala, Nobuyuki Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2020), Online, October 23-November 7, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱的量子磁束パラメトロン回路における双方向データ伝搬の検討2020

    • Author(s)
      高橋 大地、山梨 裕希、吉川 信行
    • Organizer
      2020年度春季低温工学・超電導学会、1C-p05、オンライン、2020年 7月28-30日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 非対称ダンピングを用いた断熱量子磁束パラメトロン/単一磁束量子回路間インターフェースの評価2020

    • Author(s)
      山﨑 祐一、竹内 尚輝、吉川 信行
    • Organizer
      2020年度春季低温工学・超電導学会、1C-p07、オンライン、2020年 7月28-30日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Simulation and Experimental Evaluation of Bit Error Rates of Adiabatic Quantum Flux Parametron Circuits Including Thermal Noises2020

    • Author(s)
      D. Ito, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop (SSV 2020), Yokohama, Japan, January 16-17, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Development of an ultra-low power microprocessor using adiabatic quantum-flux-parametron circuits2020

    • Author(s)
      Tomoyuki Tanaka, Christopher Ayala, Ro Saito, Daiki Ito, Kazuhito Asai, Naoki Takeuchi, Nobuyuki Yoshikawa
    • Organizer
      令和2年電気学会基礎・材料・共通部門大会、3-A-p1-5、オンライン、2020年9月3日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路のゲート間配線長とビット誤り率の関係における実験的評価2020

    • Author(s)
      伊東大樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2020-2、オンライン、2020年9月2日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Demonstration of an SFQ 8-symbol Complex Event Detector Corresponding to Regular Expressions2020

    • Author(s)
      Kazuma Akizuki, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] Design and Evaluation of a 32-word by 8-bit Register File using Adiabatic Quantum Flux Parametron Logic2020

    • Author(s)
      T. Tamura, N. Takeuchi, C. Ayala, Y. Yamanashi, N. Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop (SSV 2020), Yokohama, Japan, January 16-17, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and demonstration of directly-coupled quantum-flux-parametron circuits with optimized circuit parameters2020

    • Author(s)
      Ryohei Ishida, Naoki Takeuchi, Taiki Yamae, Nobuyuki Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2020), Online, October 23-November 7, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロンを用いたマイクロ波位相判別回路の動作実証2020

    • Author(s)
      高川佳大、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-20-013、ASC-20-022、オンライン、2020年11月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 高臨界電流密度ジョセフソン集積回路プロセスにおける受動伝送線路特性の調査2020

    • Author(s)
      池戸駿介、山梨裕希、吉川信行
    • Organizer
      2020年電子情報通信学会ソサイエティ大会
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] 可逆量子磁束パラメトロン・フリップフロップの消費エネルギーの検討2020

    • Author(s)
      山栄 大樹、竹内 尚輝、吉川 信行
    • Organizer
      2020年第81回応用物理学会秋季学術講演会、8p-Z27-17、オンライン、2020年9月8日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Challenges to thermal limit, quantum limit, and high throughput based on SFQ circuits2020

    • Author(s)
      Akira Fujimaki, Masamitsu Tanaka, Nobuyuki Yoshikawa
    • Organizer
      2020 International Symposium on Superconductor Electronics
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] Bit-error-rate-based optimization of gate-to-gate wire length for adiabatic quantum flux parametron logic2020

    • Author(s)
      Daiki Ito, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2020), Online, October 23-November 7, 2020
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 非対称ダンピングを用いた断熱量子磁束パラメトロン/単一磁束量子回路間パラメトロン回路の改善2020

    • Author(s)
      山崎祐一、竹内尚輝、吉川信行
    • Organizer
      2020年第67回応用物理学会春季学術講演会、14a-B408-6、上智大学四谷キャンパス(東京都)、2020年 3月13日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Analysis of the Influence of 1/f Noise on the Operational Stability of Quantum Flux Parametron Circuit2020

    • Author(s)
      Y. Tsuna, Y. Yamanashi, N. Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop (SSV 2020), Yokohama, Japan, January 16-17, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 単一磁束量子回路における受動伝送線路微細化の検討と評価2020

    • Author(s)
      池戸駿介、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] 断熱量子磁束パラメトロン回路のゲート間配線の伝送線路効果に対する反射特性の解析2020

    • Author(s)
      浅井 和人、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2020年度春季低温工学・超電導学会、1C-p01、オンライン、2020年 7月28-30日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱磁束量子パラメトロンにおける電流増幅回路(booster)の提案2020

    • Author(s)
      水島直哉、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-20-005、ASC-20-014、オンライン、2020年11月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Optimization of a Josephson Latching Driver using 10-kA/cm2 Nb Process for a Josephson-CMOS Hybrid Memory2020

    • Author(s)
      Y. Hironaka, Y. Yamanashi, N. Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop (SSV 2020), Yokohama, Japan, January 16-17, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 最適回路パラメータを用いた直結式量子磁束パラメトロン回路の設計及び測定2020

    • Author(s)
      石田椋平、竹内尚輝、山栄大樹、吉川信行
    • Organizer
      2020年第67回応用物理学会春季学術講演会、13a-B408-1、上智大学四谷キャンパス(東京都)、2020年 3月13日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路のゲート間配線における反射特性の評価2020

    • Author(s)
      浅井和人・竹内尚輝・山梨裕希・吉川信行
    • Organizer
      2020年電子情報通信学会ソサイエティ大会、C-8-5、オンライン、2020年9月17日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Adiabatic Quantum-Flux-Parametron Logicのためのフィードバック回路の自動合成2020

    • Author(s)
      齋藤 蕗生、AYALA Christopher L 、CHEN Olivia、田中 智之、田村 智大、吉川 信行
    • Organizer
      2020年度春季低温工学・超電導学会、1C-p03、オンライン、2020年 7月28-30日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Investigation and measurement of narrow passive transmission lines for single flux quantum circuits2020

    • Author(s)
      Shunsuke Ikedo, Yuki Yamanashi, and Nobuyuki Yoshikawa
    • Organizer
      The 33rd International Symposium on Superconductivity (ISS2020)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] Design and demonstration of an 8-word by 1-bit reversible quantum-flux-parametron register file2020

    • Author(s)
      Taiki Yamae, Naoki Takeuchi, Nobuyuki Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2020), Online, October 23-November 7, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Impedance design of excitation lines in adiabatic quantum-flux-parametron logic using InductEx2020

    • Author(s)
      Naoki Takeuchi, Hideo Suzuki, Coenrad J. Fourie, Nobuyuki Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2020), Online, October 23-November 7, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] A 4-bit RISC-dataflow AQFP MANA microprocessor: architecture, design challenges and demonstration2020

    • Author(s)
      Christopher L. Ayala, Ro Saito, Tomoyuki Tanaka, Tomohiro Tamura, Naoki Takeuchi, Nobuyuki Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2020), Online, October 23-November 7, 2020
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロンによるFPGAの設計と評価2020

    • Author(s)
      高橋大地、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-20-006、ASC-20-015、オンライン、2020年11月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] A reversible 8-bit adder using adiabatic quantum-flux-parametron logic2020

    • Author(s)
      Naoki Takeuchi, Taiki Yamae, Nobuyuki Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2020), Online, October 23-November 7, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and demonstration of compact interfaces between adiabatic quantum-flux-parametron logic and rapid single-flux-quantum logic2020

    • Author(s)
      Yuichi Yamazaki, Naoki Takeuchi, Nobuyuki Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2020), Online, October 23-November 7, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design of SFQ Convolutional Computation Processor for Convolutional Neural Network2020

    • Author(s)
      F. Ke, A. Ren, Y. Wang, O. Chen, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] Numerical evaluation and experimental demonstration of reversible superconducting logic2020

    • Author(s)
      Taiki Yamae, Naoki Takeuchi, Nobuyuki Yoshikawa
    • Organizer
      令和2年電気学会基礎・材料・共通部門大会、3-A-p1-3、オンライン、2020年9月3日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and Evaluation of Interfaces between Adiabatic Quantum Flux Parametron Circuits and Rapid Single Flux Quantum Circuits2020

    • Author(s)
      Y. Yamazaki, N. Takeuchi, N. Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop (SSV 2020), Yokohama, Japan, January 16-17, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Low Latency Adiabatic Quantum-Flux-Parametron 3-to-8 Decoder Excited by Microwave Power Dividers2020

    • Author(s)
      Yuxing He, Olivia Chen, Nobuyuki Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2020), Online, October 23-November 7, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 機械学習による断熱量子磁束パラメトロン集積回路の配置順序最適化の調査2020

    • Author(s)
      山田剛久、Christopher L. Ayala、齋藤蕗生、田中智之、吉川信行
    • Organizer
      2020年電子情報通信学会総合大会、C-8-13、広島大学東広島キャンパス(広島県)、2020年 3月18日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 最適回路パラメータを用いた直結式量子磁束パラメトロン回路の動作実証2020

    • Author(s)
      石田 椋平、竹内 尚輝、山栄 大樹、吉川 信行
    • Organizer
      2020年度春季低温工学・超電導学会、1C-p10、オンライン、2020年 7月28-30日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 単一磁束量子回路における受動伝送線路微細化の検討2020

    • Author(s)
      池戸 駿介、山梨 裕希、吉川 信行
    • Organizer
      2020年度春季低温工学・超電導学会
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] 断熱量子磁束パラメトロン回路を用いたアップダウンカウンタの設計と評価2020

    • Author(s)
      山栄 大樹、竹内 尚輝、吉川 信行
    • Organizer
      2020年度春季低温工学・超電導学会、1C-a02、オンライン、2020年 7月28-30日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 16-bit Adiabatic Quantum-Flux-Parametron SerDes Components2020

    • Author(s)
      Christopher Lawrence Ayala, Naoki Takeuchi, Nobuyuki Yoshikawa
    • Organizer
      The 33rd International Symposium on Superconductivity (ISS2020), Tsukuba, Japan, December 1-3, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路を用いたFPGAの設計2020

    • Author(s)
      高橋大地、大熊幸寛、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2020年電子情報通信学会ソサイエティ大会、C-8-2、オンライン、2020年9月17日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Energy-Efficient Superconductor Digital Circuit Technology for High-Performance Computing2020

    • Author(s)
      N. Yoshikawa
    • Organizer
      29th International Workshop on Logic and Synthesis (IWLS), Online, July 27-30, 2020
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路を用いた位相判別回路の感度評価2020

    • Author(s)
      高川 佳大、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2020年度春季低温工学・超電導学会、1C-p06、オンライン、2020年 7月28-30日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 特殊ケースにおける2層チャネル配線アルゴリズムの開発2020

    • Author(s)
      山田剛久、Christopher L. Ayala、齋藤蕗生、田中智之、吉川信行
    • Organizer
      2020年電子情報通信学会ソサイエティ大会、C-8-1、オンライン、2020年9月17日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] AQFP回路のためのN-Phase Clockingに関する研究2020

    • Author(s)
      齋藤蕗生、Christopher L. Ayala、Olivia Chen、田中智之、吉川信行
    • Organizer
      2020年電子情報通信学会ソサイエティ大会、C-8-3、オンライン、2020年9月17日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 小型断熱量子磁束パラメトロン/単一磁束量子回路間インターフェースの設計と評価2020

    • Author(s)
      山﨑祐一、竹内尚輝、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2020-5、オンライン、2020年9月2日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Present status and perspective of energy-efficient superconductor integrated circuit technologies2020

    • Author(s)
      N. Yoshikawa
    • Organizer
      Future Chips Forum 2020at Tsinghua University December 17-18, 2020
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路のゲート間配線における反射特性の調査2020

    • Author(s)
      浅井和人、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-20-014、ASC-20-023、オンライン、2020年11月19日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Measurement Result of Adiabatic Quantum Flux Parametron 16-bit Kogge Stone Adder Circuit2020

    • Author(s)
      T. Tanaka, C. L. Ayala, O. Chen, R. Saito, N. Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop (SSV 2020), Yokohama, Japan, January 16-17, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路におけるゲート間配線高密度化の検討2020

    • Author(s)
      高橋 大地、竹内 尚輝、鈴木 秀雄、山梨 裕希、吉川 信行
    • Organizer
      2020年度秋季第100回低温工学・超電導学会、1C-a05、京都大学吉田キャンパス(京都府)+オンライン、2020年12月8日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Josephson接合の臨界電流値を考慮した断熱量子磁束パラメトロン回路のBER評価2020

    • Author(s)
      伊東 大樹、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2020年第81回応用物理学会秋季学術講演会、8a-Z24-8、オンライン、2020年9月8日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Proposal of Current Boosters for Adiabatic Quantum-Flux-Parametron Logic2020

    • Author(s)
      Naoya Mizushima, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      The 33rd International Symposium on Superconductivity (ISS2020), Tsukuba, Japan, December 1-3, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Study of clock synchronization for high-level synthesis of adiabatic quantum-flux-parametron sequential logic circuits2020

    • Author(s)
      Ro Saito, Christopher L. Ayala, Olivia Chen, Tomoyuki Tanaka, Tomohiro Tamura, Nobuyuki Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2020), Online, October 23-November 7, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Development of a Generic Two-Layer Channel Routing Algorithm for Adiabatic Quantum-Flux-Parametron Logic Using Advanced Fabrication Process2020

    • Author(s)
      Takehisa Yamada, Tomoyuki Tanaka, Ro Saito, Christopher Lawrence. Ayala, Nobuyuki Yoshikawa
    • Organizer
      The 33rd International Symposium on Superconductivity (ISS2020), Tsukuba, Japan, December 1-3, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Investigation of transmission line effects in gate-to-gate wiring of adiabatic quantum-flux-parametron circuits2020

    • Author(s)
      Kazuhito Asai, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      The 33rd International Symposium on Superconductivity (ISS2020), Tsukuba, Japan, December 1-3, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 電流増幅のためのAQFP boosterの性能評価2020

    • Author(s)
      水島直哉、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2020年電子情報通信学会ソサイエティ大会、C-8-6、オンライン、2020年9月17日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] N-Phase Clocking Methodology for Adiabatic Quantum-Flux-Parametron Logic2020

    • Author(s)
      Ro Saito, Christopher L. Ayala, Nobuyuki Yoshikawa
    • Organizer
      The 33rd International Symposium on Superconductivity (ISS2020), Tsukuba, Japan, December 1-3, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Parameter Optimization of Directly Coupled Quantum Flux Parametron Circuits2020

    • Author(s)
      R. Ishida, N. Takeuchi, T. Yamae, N. Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop (SSV 2020), Yokohama, Japan, January 16-17, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Glitterチャネル配線アルゴリズムによる断熱量子磁束パラメトロン集積回路の信号線のインダクタンス最適化2020

    • Author(s)
      田中智之、Christopher L. Ayala、吉川信行
    • Organizer
      2020年電子情報通信学会総合大会、C-8-12、広島大学東広島キャンパス(広島県)、2020年 3月18日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Methodology for Automating Data Feedback Circuit Synthesis for a 4-bit Counter in Adiabatic Quantum-Flux-Parametron Logic2020

    • Author(s)
      R. Saito, C. L. Ayala, O. Chen, T. Tanaka, T. Tamura, N. Yoshikawa
    • Organizer
      13th Superconducting SFQ VLSI Workshop (SSV 2020), Yokohama, Japan, January 16-17, 2020
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] チャネル配線の寄生インダクタンス最適化による断熱量子磁束パラメトロン回路の小型化2020

    • Author(s)
      田中智之、Christopher L. Ayala、吉川信行
    • Organizer
      2020年電子情報通信学会ソサイエティ大会、C-8-4、オンライン、2020年9月17日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Asynchronous Superconducting Digital Circuits2019

    • Author(s)
      N. Yoshikawa
    • Organizer
      25th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2019)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H00760
  • [Presentation] Asynchronous Superconducting Digital Circuits2019

    • Author(s)
      Nobuyuki Yoshikawa
    • Organizer
      25th IEEE International Symposium on Asynchronous Circuits and Systems
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] 可逆量子磁束パラメトロン回路を用いた8-word by 1-bitレジスタファイルの動作実証2019

    • Author(s)
      山栄大樹、竹内尚輝、吉川信行
    • Organizer
      2019年 第80回応用物理学会秋季学術講演会、北海道大学札幌キャンパス(北海道)、2019年 9月20日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 熱雑音を考慮した断熱量子磁束パラメトロン回路のシミュレーションと実験的評価2019

    • Author(s)
      伊東大樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-19-008、ASC-19-014、ちよだプラットフォームスクエア本館、2019年11月7日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Development of Majority-Logic-Based Top-Down Environment for Adiabatic Quantum-Flux-Parametron Circuits2019

    • Author(s)
      R. Saito, C. L. Ayala, O. Chen, T. Tanaka, N. Yoshikawa
    • Organizer
      The 32st International Symposium on Superconductivity (ISS2019), Kyoto, Japan, December 3-5, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Development of Parallel-to-Serial and Serial-to-Parallel Converters for Debugging Large-Scale AQFP Logic Circuits2019

    • Author(s)
      Christopher L. Ayala、Naoki Takeuchi、Nobuyuki Yoshikawa
    • Organizer
      2019年電子情報通信学会総合大会、C-8-2、早稲田大学西早稲田キャンパス(東京都)、2019年 3月19日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration and energy evaluation of an 8-bit carry look-ahead adder using adiabatic quantum-flux-parametron logic2019

    • Author(s)
      T. Yamae, N. Takeuchi, C. L. Ayala, H. Suzuki, N. Yoshikawa
    • Organizer
      The 17th International Superconductive Electronics Conference (ISEC 2019), Riverside, California, USA, July 28-August 1, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 熱雑音を考慮したAQFP回路のBER評価2019

    • Author(s)
      伊東大樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2019年第66回応用物理学会春季学術講演会、10p-S223-6、東京工業大学大岡山キャンパス(東京都)、2019年 3月10日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型量子磁束パラメトロン集積回路の自動配置プログラムによる回路設計とその測定2019

    • Author(s)
      田中智之、アヤラ クリストファー、徐 秋韵、齋藤蕗生、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2018-27、機械振興会館、2019年1月23日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Q/CMOSハイブリッドシステムの高速動作実証に向けた単一命令セットSFQマイクロプロセッサの設計2019

    • Author(s)
      弘中祐樹、山梨裕希、吉川信行
    • Organizer
      2019年電子情報通信学会総合大会、C-8-8、早稲田大学西早稲田キャンパス(東京都)、2019年 3月19日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱量子磁束パラメトロン16-bit 桁上げ先読み加算器の設計と評価2019

    • Author(s)
      田中智之、Christopher L. Ayala、Olivia Chen、齋藤蕗生、吉川信行
    • Organizer
      2019年電子情報通信学会ソサイエティ大会、C-8-11、大阪大学豊中キャンパス(大阪)、2019年 9月13日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Energy-Efficient Superconducting Digital Circuit Technology for High Performance Computation2019

    • Author(s)
      N. Yoshikawa
    • Organizer
      Joint KU-VUW Workshop 2019, Portage, New Zealand, November 10-12, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Development of Majority-Logic-Based Top-Down Environment for Adiabatic Quantum-Flux-Parametron Circuits2019

    • Author(s)
      R. Saito, C. L. Ayala, O. Chen, T. Tanaka, N. Yoshikawa
    • Organizer
      The 32nd International Symposium on Superconductivity (ISS2019), Kyoto, Japan, December 3-5, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Demonstration of a single-flux-quantum microprocessor operating with a Josephson-CMOS hybrid memory2019

    • Author(s)
      Yuki Hironaka, T. Ortlepp, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      17th International Superconductive Electronics Conference
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] AQFPTX: Adiabatic Quantum-Flux-Parametron Timing eXtraction Tool2019

    • Author(s)
      C. L. Ayala, O. Chen, N. Yoshikawa
    • Organizer
      The 17th International Superconductive Electronics Conference (ISEC 2019), Riverside, California, USA, July 28-August 1, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and High-speed Test of an SFQ-based Single-chip FFT processor2019

    • Author(s)
      F. Ke, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      32nd International Symposium on Superconductivity
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] A flip-flop and a decoder for reversible quantum-flux-parametron register files2019

    • Author(s)
      T. Yamae, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 17th International Superconductive Electronics Conference (ISEC 2019), Riverside, California, USA, July 28-August 1, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Measurement Result of Adiabatic Quantum-Flux-Parametron 4-bit Shifter-Rotator Circuit Designed by Automatic Placement2019

    • Author(s)
      T. Tanaka, C. L. Ayala, O. Chen, R. Saito, N. Yoshikawa
    • Organizer
      South African Superconducting Quantum electronic circuit design tools and Analysis workshop (SASQIA 2019), Cape Town, South Africa, Mar. 3-8, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型量子磁束パラメトロン回路を用いた32-word by 1-bit レジスタファイルの設計と評価2019

    • Author(s)
      田村智大、竹内尚輝、Christopher Ayala、山梨裕希、吉川信行
    • Organizer
      2019年第66回応用物理学会春季学術講演会、10p-S223-3、東京工業大学大岡山キャンパス(東京都)、2019年 3月10日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Study on Adiabatic Single-Flux-Quantum Circuits Approaching the Thermodynamic Energy Limit2019

    • Author(s)
      N. Yoshikawa, Y. Yamanashi, N. Takeuchi, C. Ayala, A. Fujimaki, M. Tanaka, M. Hidaka
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019), Kobe, Japan, Jan. 16-17, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 正規表現対応 SFQ 8-symbol Complex Event Detectorの設計と評価2019

    • Author(s)
      秋月一真、山梨裕希、吉川信行
    • Organizer
      2019年電子情報通信学会総合大会、C-8-4、早稲田大学西早稲田キャンパス(東京都)、2019年 3月19日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Quality of Results of Adiabatic Quantum-Flux-Parametron Integrated Circuits Placed by the Genetic Algorithm2019

    • Author(s)
      T. Tanaka, C. L. Ayala, O. Chen, R. Saito, N. Yoshikawa
    • Organizer
      The 17th International Superconductive Electronics Conference (ISEC 2019), Riverside, California, USA, July 28-August 1, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design and Measurement of a Reversible 4-to-16 Decoder Using Adiabatic Quantum-Flux-Parametron Logic2019

    • Author(s)
      T. Yamae, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019), Kobe, Japan, Jan. 16-17, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Measurement of an SFQ 1-Symbol Matching Circuit Corresponding to Regular Expressions2019

    • Author(s)
      K. Akizuki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019), Kobe, Japan, Jan. 16-17, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子回路を用いた振幅可変マイクロ波チョッパの設計2019

    • Author(s)
      道林詩織、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2019年電子情報通信学会総合大会、C-2-4、早稲田大学西早稲田キャンパス(東京都)、2019年 3月20日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 自動配置ツールにて設計された断熱型量子磁束パラメトロン4-bitシフト・ローテーション回路の測定2019

    • Author(s)
      田中智之、Christopher L. Ayala、徐 秋韵、齋藤蕗生、吉川信行
    • Organizer
      2019年電子情報通信学会総合大会、C-8-1、早稲田大学西早稲田キャンパス(東京都)、2019年 3月19日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 可逆量子磁束パラメトロン回路を用いたDラッチの動作実証2019

    • Author(s)
      山栄大樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2019年第66回応用物理学会春季学術講演会、10p-S223-1、東京工業大学大岡山キャンパス(東京都)、2019年 3月10日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Investigation on ultra-low voltage quantum well optical modulator for optical interconnection for superconducting integrated circuits2019

    • Author(s)
      K. Sakai, S. Kato, N. Yoshikawa, Y. Kokubun, and T. Arakawa
    • Organizer
      24th Microoptics Conference (MOC) 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H01897
  • [Presentation] Investigation of influence by flux trapping for interconnection of adiabatic quantum-flux-parametron circuits2019

    • Author(s)
      T. Tanaka, C. L. Ayala, N. Yoshikawa
    • Organizer
      The 32nd International Symposium on Superconductivity (ISS2019), Kyoto, Japan, December 3-5, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Single-Flux-Quantum Parallel Multiplier Using Accumulator Unit2019

    • Author(s)
      Z. Li, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      32nd International Symposium on Superconductivity
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] Low-latency AQFP logic by using serial-type power dividers2019

    • Author(s)
      Y. He, N. Takeuchi, N. Yoshikawa
    • Organizer
      The 14th European Conference on Applied Superconductivity (EUCAS 2019), Glasgow, Scotland, September 1-5,2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Extremely Energy-Efficient Circuit Technology based on Adiabatic Quantum Flux Parametron2019

    • Author(s)
      N. Yoshikawa, N. Takeuchi, C. Ayala, O. Chen, Y. He, Y. Yamanashi
    • Organizer
      The 10th East Asia Symposium on Superconductor Electronics (EASSE-2019), Beijing, China, October 8-11, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Josephson-CMOSハイブリッドメモリにおける断熱的量子磁束パラメトロンの入力感度の調査2019

    • Author(s)
      弘中祐樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2019年電子情報通信学会ソサイエティ大会、C-8-12、大阪大学豊中キャンパス(大阪)、2019年 9月13日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱量子磁束パラメトロン回路を用いた32-word by 8-bitレジスタファイルの設計2019

    • Author(s)
      田村智大、竹内尚輝、Ayala Christopher、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-19-009、ASC-19-015、ちよだプラットフォームスクエア本館、2019年11月7日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Compact High Selectivity In-Line Topology Filter Based on LTS Technology2019

    • Author(s)
      Y. He, N. Takeuchi, N. Yoshikawa
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] Design and Simulation of a Five-Input Majority Gate Using Adiabatic Quantum Flux Parametron Logic2019

    • Author(s)
      Y. Yamazaki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019), Kobe, Japan, Jan. 16-17, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱量子磁束パラメトロン回路と単一磁束量子回路間のインターフェース回路の設計2019

    • Author(s)
      山崎祐一、山栄大樹、竹内尚輝、吉川信行
    • Organizer
      2019年 第80回応用物理学会秋季学術講演会、北海道大学札幌キャンパス(北海道)、2019年 9月20日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Demonstration and evaluation of a 13-bit 50-sample/period SFQ-based sine code generator2019

    • Author(s)
      K. Fei, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      South African Superconducting Quantum electronic circuit design tools and Analysis workshop (SASQIA 2019), Cape Town, South Africa, Mar. 3-8, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and Measurement of a 5-to-31 Decoder Using Offset Adiabatic Quantum Flux Parametron Gates2019

    • Author(s)
      T. Tamura, C. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019), Kobe, Japan, Jan. 16-17, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型量子磁束パラメトロン回路を用いた32-wordレジスタファイルのビット拡張2019

    • Author(s)
      田村智大、竹内尚輝、Christopher Ayala、山梨裕希、吉川信行
    • Organizer
      2019年 第80回応用物理学会秋季学術講演会、北海道大学札幌キャンパス(北海道)、2019年 9月20日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Measurement of an SFQ complex event detector for complex event processing2019

    • Author(s)
      Kazuma Akizuki, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      17th International Superconductive Electronics Conference
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] 超伝導集積回路光インターコネクションのための量子井戸光変調器の超低電圧化の検討2019

    • Author(s)
      阪井康太、加藤誠二、國分泰雄、吉川信行、荒川太郎
    • Organizer
      2019年第66回応用物理学会春季学術講演会、12p-M116-8、東京工業大学大岡山キャンパス(東京都)、2019年 3月12日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Recent progress of adiabatic-quantum-flux-parametron circuit technologies2019

    • Author(s)
      N. Yoshikawa
    • Organizer
      The 14th European Conference on Applied Superconductivity (EUCAS 2019), Glasgow, Scotland, September 1-5,2019
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 56-GHz demonstration of a 13-bit 50-sample/period SFQ-based sine code generator using 10 kA/cm2 Nb process2019

    • Author(s)
      F. Ke, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019), Kobe, Japan, Jan. 16-17, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Component Demonstration of a RISC-based AQFP MANA Processor2019

    • Author(s)
      C. L. Ayala, T. Tanaka, M. Nozoe, N. Takeuchi, N. Yoshikawa
    • Organizer
      The 17th International Superconductive Electronics Conference (ISEC 2019), Riverside, California, USA, July 28- August 1, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 断熱型量子磁束パラメトロン回路を用いた5入力 Majorityゲートの設計と評価2019

    • Author(s)
      山崎祐一、山栄大樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2019年第66回応用物理学会春季学術講演会、10p-S223-4、東京工業大学大岡山キャンパス(東京都)、2019年 3月10日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 広帯域クライオプローブの評価と電極パッドの影響2019

    • Author(s)
      鈴木秀雄、竹内尚輝、吉川信行
    • Organizer
      2019年電子情報通信学会ソサイエティ大会、C-8-7、大阪大学豊中キャンパス(大阪)、2019年 9月13日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Library design and design tools for adiabatic quantum-flux-parametron logic circuits (ac-biased SFQ logic)2019

    • Author(s)
      N. Yoshikawa
    • Organizer
      Design, Automation and Test in Europe (DATE 2019), Florence, Italy, Mar. 25-29, 2019, D5L3 (Tutorial Lecture)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Adiabatic Quantum Flux Parametron 回路のためのMajority-Logic Top Down環境の開発2019

    • Author(s)
      齋藤蕗生、Christopher Ayala、Olivia Chen、田中智之、山田剛久、吉川信行
    • Organizer
      2019年電子情報通信学会ソサイエティ大会、C-8-10、大阪大学豊中キャンパス(大阪)、2019年 9月13日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Measurement of Single-Flux-Quantum Floating-Point Divider Based on Goldschmidt's Algorithm2019

    • Author(s)
      Yuki Yamanashi, A. Sanada, Nobuyuki Yoshikawa
    • Organizer
      17th International Superconductive Electronics Conference
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] 単一磁束量子回路を用いたビットパラレルFFTプロセッサの性能見積もり2019

    • Author(s)
      白川琳沙、山梨裕希、吉川信行
    • Organizer
      2019年電子情報通信学会総合大会、C-8-6、早稲田大学西早稲田キャンパス(東京都)、2019年 3月19日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and Implementation of Compact Register Files Using Adiabatic Quantum Flux Parametron Logic2019

    • Author(s)
      T. Tamura, N. Takeuchi, C. L. Ayala, Y. Yamanshi, N. Yoshikawa
    • Organizer
      The 17th International Superconductive Electronics Conference (ISEC 2019), Riverside, California, USA, July 28-August 1, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] A Proposal of a Microwave Chopper Using Single-Flux-Quantum Circuits with Controllable Amplitude of Microwave2019

    • Author(s)
      S. Michibayashi, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019), Kobe, Japan, Jan. 16-17, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 遅延線クロッキングを用いた断熱磁束量子パラメトロンの低レイテンシ動作実証2019

    • Author(s)
      竹内尚輝、何魚行、吉川信行
    • Organizer
      2019年 第80回応用物理学会秋季学術講演会、北海道大学札幌キャンパス(北海道)、2019年 9月20日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Measurement Result of Adiabatic Quantum Flux Parametron 4-bit Shifter-Rotator Circuit Designed by Automatic Placement2019

    • Author(s)
      T. Tanaka, C. Ayala, Q. Xu, R. Saito, N. Yoshikawa
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019), Kobe, Japan, Jan. 16-17, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] ゲート間配線を考慮した断熱的量子磁束パラメトロン回路のビット誤り率の評価2019

    • Author(s)
      伊東大樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2019年 第80回応用物理学会秋季学術講演会、北海道大学札幌キャンパス(北海道)、2019年 9月20日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 単一磁束量子マイクロプロセッサを用いたJosephson-CMOSハイブリッドシステムの動作実証2019

    • Author(s)
      弘中祐樹、山梨裕希、吉川信行
    • Organizer
      令和元年基礎・材料・共通部門大会、4-C-a2-4、岩手大学上田キャンパス(岩手県)、2019年 9月4日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] High-Speed Operation of a 13-bit 50-sample/period SFQ-based Sine Code Generator2019

    • Author(s)
      F. Ke, Yuki Yamanashi, T. Ortlepp, Nobuyuki Yoshikawa
    • Organizer
      14th European Conference on Applied Superconductivity
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] 単一磁束量子回路を用いた13-bit 50-sample/cycle 正弦波コード発生器の動作実証及び評価2019

    • Author(s)
      柯 飛、山梨裕希、Thomas Ortlepp、吉川信行
    • Organizer
      2019年電子情報通信学会総合大会、C-8-3、早稲田大学西早稲田キャンパス(東京都)、2019年 3月19日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱量子磁束パラメトロン回路と単一磁束量子回路間のインターフェース回路の設計と動作実証2019

    • Author(s)
      山﨑祐一、山栄大樹、竹内尚輝、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-19-007、ASC-19-013、ちよだプラットフォームスクエア本館、2019年11月7日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Adiabatic Quantum-Flux-Parametron Design-For-Testability Components for Large-Scale Digital Circuits2019

    • Author(s)
      C. L. Ayala, N. Takeuchi, N. Yoshikawa
    • Organizer
      The 32nd International Symposium on Superconductivity (ISS2019), Kyoto, Japan, December 3-5, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Investigation on the Method to Evaluate the Energy Dissipation of General Adiabatic Quantum-Flux-Parametron Logic Gates2019

    • Author(s)
      T. Yamae, N. Takeuchi, N. Yoshikawa
    • Organizer
      The 32nd International Symposium on Superconductivity (ISS2019), Kyoto, Japan, December 3-5, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 56-GHz demonstration of a 13-bit 50-sample/period SFQ-based sine code generator using 10 kA/cm2 Nb process2019

    • Author(s)
      F. Ke, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] Adiabatic Quantum Flux Parametron 回路のためのTop Down環境の開発2019

    • Author(s)
      齋藤蕗生、Christopher L. Ayala、Olivia Chen、田中智之、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-19-004、ASC-19-010、ちよだプラットフォームスクエア本館、2019年11月7日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Low-latency adiabatic quantum-flux-parametron using delay-line clocking2019

    • Author(s)
      N. Takeuchi, M. Nozoe, Y. He, N. Yoshikawa
    • Organizer
      The 17th International Superconductive Electronics Conference (ISEC 2019), Riverside, California, USA, July 28-August 1, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 任意の断熱量子磁束パラメトロン論理ゲートの消費エネルギー評価方法の検討2019

    • Author(s)
      山栄大樹、竹内尚輝、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2019-28、東北大学 電気通信研究所、2019年10月10日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design of a One-Instruction-Set SFQ Microprocessor for High-Speed Demonstration of SFQ/CMOS Hybrid System2019

    • Author(s)
      Y. Hironaka, Y. Yamanashi, N. Yoshikawa
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019), Kobe, Japan, Jan. 16-17, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱的量子磁束パラメトロン16-bit桁上げ先読み加算器の誤動作原因の解析2019

    • Author(s)
      田中智之、アヤラ クリストファー、チェン オリビア、齋藤蕗生、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2019-27、東北大学 電気通信研究所、2019年10月10日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Superconducting computing: present status and perspectives2019

    • Author(s)
      N. Yoshikawa
    • Organizer
      The 17th International Superconductive Electronics Conference (ISEC 2019), Riverside, California, USA, July 28-August 1, 2019
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] 直結式量子磁束パラメトロン回路における回路パラメータの最適化2019

    • Author(s)
      石田椋平、山栄大樹、竹内尚輝、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-19-006、ASC-19-012、ちよだプラットフォームスクエア本館、2019年11月7日
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] High-Speed and High-Sensitivity Sensor Readout and Signal Processing using Superconducting Circuits2019

    • Author(s)
      N. Yoshikawa
    • Organizer
      Workshop on the Future of Silicon Detector Technologies (FuTuRe), Erfurt, Germany, December 2-3, 2019
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05614
  • [Presentation] Design of a One-Instruction-Set SFQ Microprocessor for High-Speed Demonstration of SFQ/CMOS Hybrid System2019

    • Author(s)
      Y. Hironaka, Y. Yamanashi, N. Yoshikawa
    • Organizer
      12th Superconducting SFQ VLSI Workshop (SSV 2019)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] Design and demonstration of an 8-bit 18-sample/cycle sine code generator using single-flux-quantum circuits2018

    • Author(s)
      F. Ke, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      The 31st International Symposium on Superconductivity (ISS2018)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] 単一磁束量子回路を用いた7-bit 18-sample/cycle正弦波コード発生器の測定及び評価2018

    • Author(s)
      柯 飛、山梨裕希、Thomas Ortlepp、吉川信行
    • Organizer
      2018年電子情報通信学会ソサイエティ大会、C-8-5、金沢大学角間キャンパス(石川)、2018年 9月14日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 同一冷凍機内でのSSPDとAQFPインターフェイス回路の接続実証2018

    • Author(s)
      竹内 尚輝、山下 太郎、宮嶋 茂之、三木 茂人、吉川 信行
    • Organizer
      2018年第65回応用物理学会春季学術講演会、18p-B303-12、早稲田大学西早稲田キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] SFQ/CMOSハイブリッドシステム実証に向けた単一命令セットSFQマイクロプロセッサの設計及び評価2018

    • Author(s)
      弘中祐樹、山梨裕希、吉川信行
    • Organizer
      2018年電子情報通信学会ソサイエティ大会、C-8-3、金沢大学角間キャンパス(石 川)、2018年 9月14日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Development of an extremely energy-efficient AQFP microprocessor2018

    • Author(s)
      C. Ayala, Olivia Chen, R. Saito, T. Tanaka, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 31st International Symposium on Superconductivity (ISS2018), Ibaraki, Japan, Dec. 12-14, 2018
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子回路を用いた正規表現対応1-symbol matching回路の設計及び評価2018

    • Author(s)
      秋月一真、山梨裕希、、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-18-049、ASC-18-049、 産業技術総合研究所、2018年12月10日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 遺伝的アルゴリズムを使ったAQFP回路向け配置最適化ツールの開発と評価2018

    • Author(s)
      田中智之、Christopher Ayala、山梨裕希、吉川 信行
    • Organizer
      2018年電子情報通信学会総合大会、C-8-7、東京電機大学東京千住キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Study on Single Flux Quantum Floating-Point Divider Based on Goldschmidt’s Algorithm2018

    • Author(s)
      F. China, N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and evaluation of a one-instruction-set single-flux-quantum microprocessor for the demonstration of Josephson-CMOS hybrid system2018

    • Author(s)
      Y. Hironaka, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 31st International Symposium on Superconductivity (ISS2018)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] Execution units for a RISC-based adiabatic quantum-flux-parametron microprocessor datapath2018

    • Author(s)
      C. Ayala, Q. Xu, R. Saito, T. Tanaka, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and Simulation of Reversible Adders Using Adiabatic Quantum Flux Parametron Logic2018

    • Author(s)
      T. Yamae, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 11th Superconducting SFQ VLSI Workshop (SSV 2018) / 6th CRAVITY Symposium, Tsukuba, Japan, Feb. 7-8, 2018. P-9.
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子回路を用いたFPGAの実装に向けたルーティングアーキテクチャの研究2018

    • Author(s)
      荒木美佳、山梨裕希、吉川信行
    • Organizer
      2018年電子情報通信学会ソサイエティ大会、C-8-7、金沢大学角間キャンパス(石川)、2018年 9月14日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Recent Development of Extremely Energy-Efficient Integrated Circuits Using Adiabatic Flux Parametron2018

    • Author(s)
      N. Yoshikawa
    • Organizer
      The 14th International Workshop of High-Temperature Superconductors in High Frequency Field (HTSHFF2018), Zao, Yamagata, Japan, June 5-8, 2018
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design of Adiabatic-Quantum-Flux-Parametron Register Files Using a Top-Down Design Flow2018

    • Author(s)
      Q. Xu, T. Tanaka, C. Ayala, N. Takeuchi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Adiabatic Quantum-Flux-Parametron-Based Microprocessor: Architecture, Logic Design, Modeling, and Design Tools2018

    • Author(s)
      C. Ayala, N. Takeuchi, Q. Xu, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      The 11th Superconducting SFQ VLSI Workshop (SSV 2018) / 6th CRAVITY Symposium, Tsukuba, Japan, Feb. 7-8, 2018. O-7
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導集積回路光インターコネクションのための量子井戸光変調器の超低電圧化の検討2018

    • Author(s)
      阪井康太、加藤誠二、國分泰雄、吉川信行、荒川太郎
    • Organizer
      第66回応用物理学会春季学術講演会,12p-M116-8,東工大
    • Data Source
      KAKENHI-PROJECT-18H01897
  • [Presentation] AQFP回路を用いた16-word×4-bitレジスタファイルの設計と評価2018

    • Author(s)
      野副 舞、竹内 尚輝、Christopher Ayala、山梨 裕希、吉川 信行
    • Organizer
      2018年第65回応用物理学会春季学術講演会、18p-B303-2、早稲田大学西早稲田キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 反転型量子磁束パラメトロン回路の提案と動作実証2018

    • Author(s)
      荒井孝太、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2018-15、豊橋技術科学大学、2018年8月9-10日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子回路を用いた8-bit 18-sample/cycle正弦波コード発生器の設計及び動作実証2018

    • Author(s)
      柯 飛、山梨裕希、Ortlepp Thomas、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-18-046、ASC-18-046、 産業技術総合研究所、2018年12月10日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Comprehensive Automated Timing Extraction Methodlogy for Characterizing an Adiabatic Quantum-Flux-Parametron Logic Cell Library2018

    • Author(s)
      Christopher L. Ayala、Olivia Chen、Nobuyuki Yoshikawa
    • Organizer
      2018年電子情報通信学会ソサイエティ大会、C-8-12、金沢大学角間キャンパス(石川)、2018年 9月14日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型量子磁束パラメトロン回路を用いたレジスタファイルの高密度設計2018

    • Author(s)
      田村智大、竹内尚輝、Ayala Christopher、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-18-048、ASC-18-048、 産業技術総合研究所、2018年12月10日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and implementation of 16-word by 4-bit register file using adiabatic quantum flux parametron logic2018

    • Author(s)
      M. Nozoe, C. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration of picosecond time resolution of double-oscillator time-to-digital converters using single-flux-quantum circuits2018

    • Author(s)
      Y. Tomitsuka, Y. Abe, N. Zen, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and demonstration of an 8-bit 18-sample/cycle sine code generator using single-flux-quantum circuits2018

    • Author(s)
      F. Ke, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      The 31st International Symposium on Superconductivity (ISS2018), Ibaraki, Japan, Dec. 12-14, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Inverting quantum flux parametron as adiabatic superconductor logic without transformers2018

    • Author(s)
      K. Arai, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 冷凍機システムを用いたAQFP回路の動作2018

    • Author(s)
      鈴木秀雄、知名史博、竹内尚樹、吉川信行
    • Organizer
      2018年電子情報通信学会ソサイエティ大会、C-8-11、金沢大学角間キャンパス(石川)、2018年 9月14日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration of 5.6 ps Latency of Adiabatic Quantum Flux Parametron using Delayed Clocking Scheme2018

    • Author(s)
      M. Nozoe, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 31st International Symposium on Superconductivity (ISS2018), Ibaraki, Japan, Dec. 12-14, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 高エネルギー効率可逆量子演算回路2018

    • Author(s)
      吉川 信行
    • Organizer
      平成30年電気学会全国大会、S4-5、九州大学伊都キャンパス(福岡)
    • Invited
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] 断熱型量子磁束パラメトロン回路を用いた可逆全加算器の動作実証2018

    • Author(s)
      山栄大樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2018年第79回応用物理学会秋季学術講演会、21a-212B-10、名古屋国際会議場(愛知県)、2018年 9月21日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AC励起配線の遅延を用いたAQFP回路のレイテンシ低減の検討2018

    • Author(s)
      野副 舞、竹内尚輝、Christopher Ayala、山梨裕希、吉川信行
    • Organizer
      2018年第79回応用物理学会秋季学術講演会、21a-212B-7、名古屋国際会議場(愛知県)、2018年 9月21日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 量子ビット応用としての超伝導回路技術の過去と現在2018

    • Author(s)
      吉川 信行
    • Organizer
      2018年電子情報通信学会総合大会、CT-1-2、東京電機大学東京千住キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 論理反転型量子磁束パラメトロン回路の提案2018

    • Author(s)
      荒井 孝太、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2018年第65回応用物理学会春季学術講演会、18p-B303-6、早稲田大学西早稲田キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型量子磁束パラメトロン回路を用いた16-word×4-bitレジスタファイルの設計とレイテンシ低減の検討2018

    • Author(s)
      野副舞、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-18-032、ASC-18-032、鉄道総合技術研究所、2018年7月25日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and demonstration of an SFQ-based full-component singlechip FFT processor2018

    • Author(s)
      F. Ke, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and Evaluation of Deep Learning Accelerators Using Superconductor Logic Families2018

    • Author(s)
      Qiuyun Xu、Yanzhi Wang、Naoki Takeuchi、Nobuyuki Yoshikawa
    • Organizer
      2018年電子情報通信学会ソサイエティ大会、C-8-13、金沢大学角間キャンパス(石川)、2018年 9月14日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 反転型量子磁束パラメトロンを用いたマイノリティゲートの動作実証2018

    • Author(s)
      荒井孝太、竹内尚樹、山梨裕希、吉川信行
    • Organizer
      2018年電子情報通信学会ソサイエティ大会、C-8-16、金沢大学角間キャンパス(石川)、2018年 9月14日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 遺伝的アルゴリズムを用いた断熱型量子磁束パラメトロン集積回路の自動設計2018

    • Author(s)
      田中智之、Christopher Ayala、徐 秋韵、吉川信行
    • Organizer
      2018年電子情報通信学会ソサイエティ大会、C-8-15、金沢大学角間キャンパス(石川)、2018年 9月14日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and evaluation of a one-instruction-set single-flux-quantum microprocessor for the demonstration of Josephson-CMOS hybrid system2018

    • Author(s)
      Y. Hironaka, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 31st International Symposium on Superconductivity (ISS2018), Ibaraki, Japan, Dec. 12-14, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一命令セットSFQマイクロプロセッサを用いたSFQ/CMOSハイブリッドシステムの評価2018

    • Author(s)
      弘中祐樹、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-18-047、ASC-18-047、 産業技術総合研究所、2018年12月10日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Quantum Flux Parametron Latchを用いた8-word×1-bit レジスタファイルの省面積化2018

    • Author(s)
      田村 智大、竹内 尚輝、Christopher Ayala、山梨 裕希、吉川 信行
    • Organizer
      2018年第65回応用物理学会春季学術講演会、18p-B303-3、早稲田大学西早稲田キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration of a superconducting nanowire single-photon detector using adiabatic quantum-flux-parametron logic in a 0.1 W Gifford-McMahon cryocooler2018

    • Author(s)
      N. Takeuchi, T. Yamashita, S. Miyajima, S. Miki, N. Yoshikawa, H. Terai
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and Implementation of a Low-Power Area-Efficient Adiabatic-Quantum-Flux-Parametron FPGA using Josephson-CMOS Hybrid Memories2018

    • Author(s)
      Y. Okuma, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子回路を用いたリングオシレータの発振周期の実測とピコ秒分解能遅延測定回路の性能評価2018

    • Author(s)
      冨塚 裕真、全 伸幸、山梨裕希、吉川信行
    • Organizer
      第 97回低温工学・超電導学会、2C-a08、山形テルサ(山形)、2018年 11月20日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 非対称AQFPゲートを用いた論理回路の小面積化および動作実証2018

    • Author(s)
      大熊幸寛、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2018-24、東北大学 電気通信研究所、2018年10月10-11日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 正規表現に対応したSFQ 4-symbol Complex Event Detectorの設計2018

    • Author(s)
      秋月一真、佐藤僚亮、山梨裕希、吉川信行
    • Organizer
      2018年電子情報通信学会ソサイエティ大会、C-8-6、金沢大学角間キャンパス(石川)、2018年 9月14日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Fabrication of Adiabatic Quantum-Flux-Parametron Integrated Circuits Using an Automatic Placement Tool Based on Genetic Algorithms2018

    • Author(s)
      T. Tanaka, C. Ayala, Q. Xu, R. Saito, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] High-speed and Low-power signal processing using superconducting circuits2018

    • Author(s)
      N. Yoshikawa
    • Organizer
      Workshop on the future of silicon detector technologies FuTuRe II, Erfurt, Germany, December 2-4, 2018
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 遺伝的アルゴリズムによる自動配置ツールによる断熱型量子磁束パラメトロン集積回路の設計2018

    • Author(s)
      田中智之、アヤラ クリストファー、徐 秋韵、齋藤蕗生、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2018-16、豊橋技術科学大学、2018年8月9-10日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Reduction of the Circuit Area of an 8-word by 1-bit Register Using Quantum Flux Parametron Latch2018

    • Author(s)
      T. Tamura, C. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 11th Superconducting SFQ VLSI Workshop (SSV 2018) / 6th CRAVITY Symposium, Tsukuba, Japan, Feb. 7-8, 2018. P-8.
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] SFQ,AQFP, ならびにCMOS回路を用いた1-bit CPUの設計2018

    • Author(s)
      弘中祐樹・Christopher Ayala、山梨裕希、吉川 信行
    • Organizer
      2018年電子情報通信学会総合大会、C-8-6、東京電機大学東京千住キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and demonstration of reversible full adders using adiabatic quantum flux parametron logic2018

    • Author(s)
      T. Yamae, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and demonstration of an SFQ-based full-component singlechip FFT processor2018

    • Author(s)
      F. Ke, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18H05211
  • [Presentation] Design and measurement of 4-unit 2-bit FPGA using single-flux-quantum circuits2018

    • Author(s)
      M. Araki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 31st International Symposium on Superconductivity (ISS2018), Ibaraki, Japan, Dec. 12-14, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Study on Single Flux Quantum Floating-Point Divider Based on Goldschmidt’s Algorithm2018

    • Author(s)
      A. Sanada, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Area Reduction of Adiabatic-Quantum-Flux-Parametron Register-Files by Using Asymmetric Gates2018

    • Author(s)
      T. Tamura, N. Takeuchi, C. Ayala, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 31st International Symposium on Superconductivity (ISS2018), Ibaraki, Japan, Dec. 12-14, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Investigation of Multi-Phase Timing Windows for Adiabatic Quantum-Flux-Parametron Logic2018

    • Author(s)
      Christopher Ayala, Olivia Chen, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会 、SCE2018-23、東北大学 電気通信研究所、2018年10月10-11日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design of a 1-bit SFQ CPU and Comparison with CMOS and AQFP Circuits2018

    • Author(s)
      Y. Hironaka, C. Ayala, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 11th Superconducting SFQ VLSI Workshop (SSV 2018) / 6th CRAVITY Symposium, Tsukuba, Japan, Feb. 7-8, 2018. P-10.
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and implementation of an extremely energy-efficient deep learning accelerator using superconducting logic2018

    • Author(s)
      Q. Xu, Y. Wang, X. Ma, N. Takeuchi, N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC2018), Seattle, USA, Oct. 28-Nov. 2, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Recent Development and Applications of Adiabatic Quantum Flux Parametron Logic2018

    • Author(s)
      N. Takeuchi, C. Ayala, Q. Xu, H. Suzuki, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      The 11th Superconducting SFQ VLSI Workshop (SSV 2018) / 6th CRAVITY Symposium, Tsukuba, Japan, Feb. 7-8, 2018. O-6.
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Josephson-CMOSハイブリットメモリを用いたAdiabatic-Quantum-Flux-Parametron FPGAの評価2018

    • Author(s)
      大熊幸寛、山梨裕希、吉川信行
    • Organizer
      電気学会金属・セラミックス超電導機器合同研究会、MC-18-033、ASC-18-033、鉄道総合技術研究所、2018年7月25日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 高エネルギー効率可逆量子演算回路2018

    • Author(s)
      吉川 信行
    • Organizer
      平成30年電気学会全国大会、S4-5、九州大学伊都キャンパス(福岡)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP/CMOSハイブリッドメモリを用いたAQFP FPGAの設計と評価2018

    • Author(s)
      大熊 幸寛、山梨 裕希、吉川 信行
    • Organizer
      2018年第65回応用物理学会春季学術講演会、18p-B303-5、早稲田大学西早稲田キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 論理反転型量子磁束パラメトロン回路の提案2018

    • Author(s)
      荒井 孝太、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2018年第65回応用物理学会春季学術講演会、18p-B303-6、早稲田大学西早稲田キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] AQFP回路を用いた可逆加算器の提案2018

    • Author(s)
      山栄 大樹、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2018年第65回応用物理学会春季学術講演会、18p-B303-4、早稲田大学西早稲田キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] AQFP回路を用いた可逆加算器の提案2018

    • Author(s)
      山栄 大樹、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2018年第65回応用物理学会春季学術講演会、18p-B303-4、早稲田大学西早稲田キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 非対称断熱型量子磁束パラメトロンANDゲートを用いたレジスタファイルの小面積化2018

    • Author(s)
      田中 智大、竹内 尚輝、Christopher Ayala、山梨 裕希、吉川 信行
    • Organizer
      2018年第79回応用物理学会秋季学術講演会、21a-212B-8、名古屋国際会議場(愛知県)、2018年 9月21日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導回路評価用の広帯域クライオプローブの開発2018

    • Author(s)
      鈴木秀雄、Yuge Xing、知名史博、竹内尚輝、吉川 信行
    • Organizer
      2018年電子情報通信学会総合大会、C-8-10、東京電機大学東京千住キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 非対称AQFPセルを用いた論理ゲートの小面積化2018

    • Author(s)
      大熊幸寛、山梨裕希、吉川信行
    • Organizer
      2018年電子情報通信学会ソサイエティ大会、C-8-14、金沢大学角間キャンパス(石川)、2018年 9月14日
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP回路の微細化に向けたrf-SQUID結合素子の検討2017

    • Author(s)
      荒井 孝太、竹内 尚輝、山梨裕希、吉川 信行
    • Organizer
      2017年度秋季(第95回)低温工学・超電導学会、2D-p02、高知市文化プラザかるぽーと(高知)
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] Theory and experimental analysis of the sensitivity of an adiabatic quantum flux parametron2017

    • Author(s)
      T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      13th European Conference on Applied Superconductivity (EUCAS 2017), Geneva, Switzerland, Sep. 17-21, 2017. 4E01-02.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and high speed demonstration of an SFQ complex event detector circuit for complex event processing2017

    • Author(s)
      R. Sato, T. Ono, Y. Yamanashi, and N. Yoshikawa
    • Organizer
      The 16th International Superconductive Electronics Conference (ISEC 2017), Sorrento, Italy, Jun. 12-16, 2017, Fr-I-DIG-03.
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and implementation of scalable register files using adiabatic quantum flux parametron logic2017

    • Author(s)
      N. Tsuji, N. Takeuchi, C. Ayala, Y. Yamanashi, and N. Yoshikawa
    • Organizer
      The 16th International Superconductive Electronics Conference (ISEC 2017), Sorrento, Italy, Jun. 12-16, 2017, Fr-C-NJD-02.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型量子磁束パラメトロン9万接合回路の歩留まり評価2017

    • Author(s)
      知名史博、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2017年第78回応用物理学会秋季学術講演会、7p-S41-8、福岡国際会議場(福岡県)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Adiabatic quantum flux parametron as an ultra-energy-efficient readout circuit for superconducting sensor arrays2017

    • Author(s)
      N. Yoshikawa
    • Organizer
      International Workshop on Superconducting Quantum Technology, Freyburg/Unstrut, Germany, Jun. 18-21, 2017.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 4JLゲートを用いた断熱型量子磁束パラメトロン用電圧ドライバ回路の動作実証2017

    • Author(s)
      知名史博、竹内 尚輝、Ortlepp Thomas、山梨 裕希、吉川 信行
    • Organizer
      2017年電子情報通信学会ソサイエティ大会、C-8-2、東京都市大学世田谷キャンパス(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型磁束量子パラメトロンを用いた2次元超伝導検出器アレイ読み出し用パラレルーシリアル変換回路の提案2017

    • Author(s)
      知名史博、竹内尚輝、 山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、 SCE2017-29、東北大学電気通信研究所
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Current Progress in Adiabatic Quantum Flux Parametron2017

    • Author(s)
      N. Takeuchi, C. Ayala, Q. Xu, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 30th International Symposium on Superconductivity (ISS2017)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] An EDA flow for AQFP VLSI design with customized interface to Cadence tools2017

    • Author(s)
      Q. Xu, Y. Murai, R.Saito, C. L. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      10th Superconducting SFQ VLSI Workshop (SSV2017)
    • Place of Presentation
      Nagoya, Japan
    • Year and Date
      2017-02-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP/CMOSハイブリッドシステムの動作実証およびAQFP 16-bit MUXの設計と測定2017

    • Author(s)
      大熊幸寛、 山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、 SCE2017-16、名古屋大学(東山キャンパス)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導マイクロストリップラインを用いた断熱型磁束量子パラメトロン間の長距離信号伝送回路の動作実証2017

    • Author(s)
      知名史博、竹内尚輝、Thomas Ortlepp、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超電導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] A Quad-Phase Majority-Based 8-bit Kogge-Stone Adder Using Adiabatic Quantum-Flux-Parametron Majority Logic2017

    • Author(s)
      Christopher Ayala、Naoki Takeuchi、Yuki Yamanashi、Thomas Ortlepp、Nobuyuki Yoshikawa
    • Organizer
      2017年電子情報通信学会総合大会
    • Place of Presentation
      名城大学(名古屋)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超低消費電力断熱動作超伝導集積回路2017

    • Author(s)
      吉川信行
    • Organizer
      電子情報通信学会 、SCE2016-55
    • Place of Presentation
      みやじま杜の宿(広島)
    • Year and Date
      2017-01-31
    • Invited
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] Design and Simulation of Reversible Adders Using Adiabatic Quantum Flux Parametron Logic2017

    • Author(s)
      T. Yamae, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 11th Superconducting SFQ VLSI Workshop (SSV 2018) / 6th CRAVITY Symposium
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] A random-access-memory cell based on quantum flux parametron with three control lines2017

    • Author(s)
      H. Takayama, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 30th International Symposium on Superconductivity (ISS2017), Tokyo, Japan, Dec. 14-15, 2017. EDP1-3.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超低消費電力断熱動作超伝導集積回路2017

    • Author(s)
      ケイ育閣、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会 、SCE2017-2 、機械振興会館
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Current Progress in Adiabatic Quantum Flux Parametron2017

    • Author(s)
      N. Takeuchi, C. Ayala, Q. Xu, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 30th International Symposium on Superconductivity (ISS2017), Tokyo, Japan, Dec. 14-15, 2017. ED5-1-INV.
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Microarchitectures for energy-efficient computing implemented in adiabatic quantum-flux-parametron logic2017

    • Author(s)
      C. Ayala, Q. Xu, N. Takeuchi, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      13th European Conference on Applied Superconductivity (EUCAS 2017), Geneva, Switzerland, Sep. 17-21, 2017. 1EP1-06.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP回路を用いた16-word×1-bitレジスタファイルの高速化2017

    • Author(s)
      野副 舞、竹内 尚輝、Christopher Ayala、山梨 裕希、吉川 信行
    • Organizer
      2017年第78回応用物理学会秋季学術講演会、7p-S41-12、福岡国際会議場(福岡県)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Recent research development of memories for single-flux-quantum computing systems2017

    • Author(s)
      N. Yoshikawa, T. Igarashi, G. Konno, T. Takahashi, Y. Yamanashi
    • Organizer
      10th Superconducting SFQ VLSI Workshop (SSV2017)
    • Place of Presentation
      Nagoya, Japan
    • Year and Date
      2017-02-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFPインターフェイス回路を用いたSSPD動作実証2017

    • Author(s)
      竹内 尚輝、山下 太郎、宮嶋 茂之、三木 茂人、吉川 信行、寺井 弘高
    • Organizer
      2017年第78回応用物理学会秋季学術講演会、7p-S41-2、福岡国際会議場(福岡県)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] モートに捕捉された磁束が超伝導回路動作に与える影響の実験評価2017

    • Author(s)
      山梨 裕希、今井 響、吉川 信行
    • Organizer
      2016年度応用物理学会春季学術講演会
    • Place of Presentation
      パシフィコ横浜(神奈川)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 3制御線式断熱型量子磁束パラメトロンRAMセルの設計と評価2017

    • Author(s)
      高山 広、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      金属・セラミックス超電導機器合同研究会 、MC- 17-032 、ASC-17-049、 産業技術総合研究所
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型量子磁束パラメトロンを用いたレジスタファイルの設計と試作2017

    • Author(s)
      吉川 信行、辻 直樹, 竹内 尚輝、AYARA Christopher、山梨 裕希
    • Organizer
      第 94回低温工学・超電導学会、2D-a13、タワーホール船堀(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導回路を用いた可逆演算2017

    • Author(s)
      吉川信行
    • Organizer
      超伝導エレクトロニクス第146委員会 通信・情報処理分科会 第14回研究会、学士会館
    • Invited
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 10 kA/cm2ダブルゲートプロセスのインダクタンス評価2017

    • Author(s)
      知名史博、辻 直樹、安藤拓生、高山 広、竹内尚輝、山梨裕希、吉川 信行
    • Organizer
      2017年電子情報通信学会総合大会
    • Place of Presentation
      名城大学(名古屋)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] CMOS可逆論理回路の低消費エネルギー化の研究2017

    • Author(s)
      安井雄紀、吉川 信行
    • Organizer
      2017年電子情報通信学会総合大会
    • Place of Presentation
      名城大学(名古屋)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Analysis of Relationship between Gray Zone and Energy Dissipation of Adiabatic Quantum Flux Parametron2017

    • Author(s)
      T. Matsushima, Y. Yamanashi, N. Takeuchi, N. Yoshikawa, and T. Ortlepp
    • Organizer
      The 16th International Superconductive Electronics Conference (ISEC 2017), Sorrento, Italy, Jun. 12-16, 2017, We-SDM-18.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Investigation of reconfigurable superconducting reversible login gate2017

    • Author(s)
      Y. Yamanashi, Y. Ito, N. Takeuchi, N. Yoshikawa
    • Organizer
      10th Superconducting SFQ VLSI Workshop (SSV2017)
    • Place of Presentation
      Nagoya, Japan
    • Year and Date
      2017-02-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型量子磁束パラメトロン回路を用いた可逆加算器の設計およびエネルギー評価2017

    • Author(s)
      山栄大樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      金属・セラミックス超電導機器合同研究会 、MC- 17-035 、ASC-17-052、 産業技術総合研究所
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] 断熱型磁束量子パラメトロンを用いた9万接合回路の歩留まり評価”、2017

    • Author(s)
      知名史博、竹内尚輝、 山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、 SCE2017-13、名古屋大学(東山キャンパス)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and implementation of AQFP-based register files for an AQFP 4-bit RISC microprocessor prototype2017

    • Author(s)
      Q. Xu, C. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      13th European Conference on Applied Superconductivity (EUCAS 2017), Geneva, Switzerland, Sep. 17-21, 2017. 1EP1-12.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型量子磁束パラメトロンを用いたA/D変換器の提案2017

    • Author(s)
      松島 孝、山梨 裕希、竹内 尚輝、吉川 信行
    • Organizer
      2017年第78回応用物理学会秋季学術講演会、7p-S41-9、福岡国際会議場(福岡県)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] A Large-Scale Design Flow for Adiabatic Quantum-Flux-Parametron Circuits with Retiming and Fan-out Deconstruction2017

    • Author(s)
      C. L. Ayala, Q. Xu, Y. Murai, R. Saito, N. Takeuchi, Y. Yamanashi, T. Ortlepp, and N. Yoshikawa
    • Organizer
      The 16th International Superconductive Electronics Conference (ISEC 2017), Sorrento, Italy, Jun. 12-16, 2017, Tu-SDM-14.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP回路のための8出力パワーディバイダの設計2017

    • Author(s)
      ケイ育閣、竹内尚輝、方コン、山梨 裕希、吉川 信行
    • Organizer
      2016年度応用物理学会春季学術講演会
    • Place of Presentation
      パシフィコ横浜(神奈川)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 量子磁束パラメトロン回路で構成されたランダムアクセスメモリセルの評価2017

    • Author(s)
      高山 広、竹内尚輝、 山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、 SCE2017-14、名古屋大学(東山キャンパス)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design of an arithmetic logic unit and a data shifter for adiabatic quantum-flux-parametron-based microprocessor2017

    • Author(s)
      C. Ayala, Q. Xu, R. Saito, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 30th International Symposium on Superconductivity (ISS2017), Tokyo, Japan, Dec. 14-15, 2017. EDP1-6.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型磁束量子パラメトロン回路のグレーゾーンおよび消費エネルギーの関係の評価2017

    • Author(s)
      松島 孝、山梨 裕希、Ortlepp Thomas、竹内 尚輝、吉川 信行
    • Organizer
      2016年度応用物理学会春季学術講演会
    • Place of Presentation
      パシフィコ横浜(神奈川)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Recent Development and Applications of Adiabatic Quantum Flux Parametron Logic2017

    • Author(s)
      N. Takeuchi, C. Ayala, Q. Xu, H. Suzuki, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      The 11th Superconducting SFQ VLSI Workshop (SSV 2018) / 6th CRAVITY Symposium
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] AQFP回路を用いた2入力1出力スイッチ回路の提案2017

    • Author(s)
      野副 舞、竹内 尚輝、 Ayala Christopher、山梨 裕希、吉川 信行
    • Organizer
      2016年度応用物理学会春季学術講演会
    • Place of Presentation
      パシフィコ横浜(神奈川)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Proposal of superconducting analog to digital converter using quantum flux parametron2017

    • Author(s)
      T. Matsushima, Y. Yamanashi, N. Takeuchi, N. Yoshikawa
    • Organizer
      The 30th International Symposium on Superconductivity (ISS2017), Tokyo, Japan, Dec. 14-15, 2017. EDP1-4.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] High-density Integration of Adiabatic Quantum-Flux-Parametron Circuits by Using Double-Active-Layered Niobium Process2017

    • Author(s)
      F. China, N. Tsuji, T. Ando, H. Takayama, N. Takeuchi, S. Nagasawa, M. Hidaka, Y. Yamanashi, and N. Yoshikawa
    • Organizer
      The 16th International Superconductive Electronics Conference (ISEC 2017), Sorrento, Italy, Jun. 12-16, 2017, We-SDM-04.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and Demonstration of Power Divider for Adiabatic Quantum-Flux-Parametron Logic2017

    • Author(s)
      Y. Xing, N. Takeuchi, K. Fang, Y. Yamanashi, and N. Yoshikawa
    • Organizer
      The 16th International Superconductive Electronics Conference (ISEC 2017), Sorrento, Italy, Jun. 12-16, 2017, Tu-SDM-01.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 磁束量子パラメトロン回路で構成された8入力OR回路の設計と測定結果2017

    • Author(s)
      高山 広、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2016年度応用物理学会春季学術講演会
    • Place of Presentation
      パシフィコ横浜(神奈川)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超低消費電力断熱動作超伝導集積回路2017

    • Author(s)
      吉川信行
    • Organizer
      電子情報通信学会
    • Place of Presentation
      みやじま杜の宿(広島)
    • Invited
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP回路を用いた帰還型ラッチのエネルギー評価2017

    • Author(s)
      野副 舞、竹内尚輝、Christopher Ayala、 山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2017-12、名古屋大学(東山キャンパス)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP回路の微細化に向けたrf-SQUID結合素子の検討2017

    • Author(s)
      荒井 孝太、竹内 尚輝、山梨裕希、吉川 信行
    • Organizer
      2017年度秋季(第95回)低温工学・超電導学会、2D-p02、高知市文化プラザかるぽーと(高知)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導位相制御を用いた再構成可能かつ可逆な断熱型磁束量子パラメトロン論理ゲートの設計と評価2017

    • Author(s)
      伊藤 優希, 山梨 裕希, 吉川 信行
    • Organizer
      2016年度応用物理学会春季学術講演会
    • Place of Presentation
      パシフィコ横浜(神奈川)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Adiabatic quantum-flux-parametron cell library developed using a 10 kA cm^-2 niobium fabrication process2017

    • Author(s)
      N. Takeuchi, S. Nagasawa, F. China, T. Ando, M. Hidaka, Y. Yamanashi, N. Yoshikawa
    • Organizer
      10th Superconducting SFQ VLSI Workshop (SSV2017)
    • Place of Presentation
      Nagoya, Japan
    • Year and Date
      2017-02-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Influences of Magnetic Flux Trapped in Moats on Superconducting Integrated Circuit Operation2017

    • Author(s)
      Y. Yamanashi, H. Imai, N. Yoshikawa
    • Organizer
      The 16th International Superconductive Electronics Conference (ISEC 2017), Sorrento, Italy, Jun. 12-16, 2017, We-SDM-02.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導回路を用いた可逆演算2017

    • Author(s)
      吉川信行
    • Organizer
      超伝導エレクトロニクス第146委員会 通信・情報処理分科会 第14回研究会、学士会館
    • Invited
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] Simulation of 4-bit random access memory cells composed of quantum flux parametron2017

    • Author(s)
      H. Takayama, N. Tsuji, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      10th Superconducting SFQ VLSI Workshop (SSV2017)
    • Place of Presentation
      Nagoya, Japan
    • Year and Date
      2017-02-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP/CMOSハイブリッドメモリを用いたAQFP FPGAの提案と設計2017

    • Author(s)
      大熊幸寛、山梨裕希、吉川信行
    • Organizer
      金属・セラミックス超電導機器合同研究会 、MC- 17-031 、ASC-17-048、 産業技術総合研究所
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP/CMOSハイブリッドシステムの動作実証2017

    • Author(s)
      大熊幸寛、今野 元、山梨裕希、吉川 信行
    • Organizer
      2017年電子情報通信学会総合大会
    • Place of Presentation
      名城大学(名古屋)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 10 kA cm-2 Nb プロセス用セルライブラリを用いた断熱型量子磁束パラメトロンレジスタファイルの設計と評価2017

    • Author(s)
      野副 舞、Ayala Christopher、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      金属・セラミックス超電導機器合同研究会 、MC- 17-033 、ASC-17-050、 産業技術総合研究所
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Recent research developments of adiabatic quantum-flux-parametron circuits technology toward energy-efficient high-performance computing2017

    • Author(s)
      N. Yoshikawa
    • Organizer
      13th European Conference on Applied Superconductivity (EUCAS 2017), Geneva, Switzerland, Sep. 17-21, 2017. 4E01-01.
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型量子磁束パラメトロン回路を用いた可逆加算器の設計およびエネルギー評価2017

    • Author(s)
      山栄大樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      金属・セラミックス超電導機器合同研究会 、MC- 17-035 、ASC-17-052、 産業技術総合研究所
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Performance Analysis of Synthesized Benchmark Circuits Implemented in Adiabatic Superconductor Logic2017

    • Author(s)
      Q. Xu, R. Saito, Y. Murai, C. L. Ayala, N. Takeuchi, Y. Yamanashi, and N. Yoshikawa
    • Organizer
      The 16th International Superconductive Electronics Conference (ISEC 2017), Sorrento, Italy, Jun. 12-16, 2017, Tu-SDM-15.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Status of RSFQ developments in Japan2017

    • Author(s)
      N. Yoshikawa
    • Organizer
      International Workshop on Superconducting Quantum Technology, Freyburg/Unstrut, Germany, Jun. 18-21, 2017.
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Recent research developments of adiabatic quantum-flux-parametron circuits technology toward energy-efficient high-performance computing2017

    • Author(s)
      N. Yoshikawa
    • Organizer
      13th European Conference on Applied Superconductivity (EUCAS 2017)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] AQFP/CMOSハイブリッドメモリシステムの動作実証およびAQFP 16-bit MUXの低面積化2017

    • Author(s)
      大熊 幸寛、山梨 裕希、吉川 信行
    • Organizer
      2017年第78回応用物理学会秋季学術講演会、7p-S41-10、福岡国際会議場(福岡県)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFPの低エネルギー散逸の測定に向けた超伝導共振器の検討2017

    • Author(s)
      ケイ 育閣、竹内 尚輝、山梨裕希、吉川 信行
    • Organizer
      2017年度秋季(第95回)低温工学・超電導学会、2D-a03、高知市文化プラザかるぽーと(高知)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 量子磁束パラメトロン回路で構成されたランダムアクセスメモリセルの制御方法の検討2017

    • Author(s)
      高山 広、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2017年第78回応用物理学会秋季学術講演会、7p-S41-7、福岡国際会議場(福岡県)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Low-energy High-performance Computing based on Superconducting Technology2016

    • Author(s)
      N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Year and Date
      2016-09-07
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] 磁束量子パラメトロン回路を用いたランダムアクセスメモリセルの提案2016

    • Author(s)
      高山 広、竹内 尚輝、辻 直樹、山梨 裕希、吉川 信行
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東工大大岡山キャンパス
    • Year and Date
      2016-03-20
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] High-Speed Operation of LR-Biased Single Flux Quantum Circuit with Dynamic Resetting of Mechanism of Bias Current2016

    • Author(s)
      Y. Yamanashi, R. Tsutumi, S. Shimizu, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Investigation on multi-excitation mode for high-speed and low-latency AQFP circuits2016

    • Author(s)
      方コン、安藤 拓生、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2016年度春季低温工学・超電導学会
    • Place of Presentation
      タワーホール船堀(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] New operation mode of adiabatic quantum-flux-parametron circuits2016

    • Author(s)
      Kun Fang、Naoki Takeuchi、Yuki Yamanashi、Nobuyuki Yoshikawa
    • Organizer
      電子情報通信学会超電導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Fully Functional Operation of Low-Power 64-kb Josephson-CMOS Hybrid Memories2016

    • Author(s)
      G. Konno, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子回路と断熱型磁束量子パラメトロン間インターフェースの動作実証2016

    • Author(s)
      知名史博、竹内尚輝、山梨裕希、吉川信行、Tohmas Ortlepp
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Year and Date
      2016-01-21
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design of Adiabatic-Quantum-Flux-Parametron Autocorrelator for Submillimeter-Wave Spectrometry2016

    • Author(s)
      S. Kobako, Y. Yamanashi and N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2016-08-02
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱動作に基づく超低消費電力超電導ディジタル集積回路技術2016

    • Author(s)
      吉川信行
    • Organizer
      金属・セラミックス 超電導機器 合同研究会、電気学会
    • Place of Presentation
      大阪大学
    • Year and Date
      2016-06-28
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] AQFP 回路のための高速インターフェイス回路の設計と動作 実証2016

    • Author(s)
      竹内 尚輝, 鈴木 秀雄, 山梨 裕希, 吉川 信行
    • Organizer
      2016年度応用物理学会秋季学術講演会
    • Place of Presentation
      朱鷺メッセ(新潟)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導回路におけるトラップされた磁束が与える影響の調査2016

    • Author(s)
      今井 響、山梨 裕希、吉川 信行
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東工大大岡山キャンパス
    • Year and Date
      2016-03-20
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Proposal of a Random Access Memory Cell Composed of Quantum Flux Parametron2016

    • Author(s)
      H. Takayama, N. Tsuji N. Takeuchi, Y. Yamanashi and N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2016-08-02
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design methodologies toward large-scale adiabatic quantum-flux-parametron integrated circuits2016

    • Author(s)
      C. L. Ayala, Q. Xu, Y. Murai, R. Saito, N. Takeuchi, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      29th International Symposium on Superconductivity (ISS2016)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2016-12-13
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration of Data Transmission on Long Interconnections between Adiabatic Quantum-Flux-Parametron Gates Using Passive Transmission Lines2016

    • Author(s)
      F. China, N. Tsuji, N. Takeuchi , T. Ortlepp, Y. Yamanashi and N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2016-08-02
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Development and demonstration of a routing tool for large-scale adiabatic quantum-flux-parametron circuits with automatic buffer insertion2016

    • Author(s)
      Y. Murai, C. L. Ayala, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and implementation of 16-word by 1-bit register files using adiabatic quantum flux parametron logic2016

    • Author(s)
      N. Tsuji, C. L. Ayala, N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Investigation of Gray Zone Width of Adiabatic Quantum Flux Parametron2016

    • Author(s)
      T. Matsushima, T. Ortlepp, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      Yokohama National University,Yokohama
    • Year and Date
      2016-08-02
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Development and Demonstration of a Post-Placement Routing Approach for Large-Scale Adiabatic Quantum-Flux-Parametron Circuits Using Channel Routing2016

    • Author(s)
      Yuki Murai, Christopher Ayala, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      2016電子情報通信学会総合大会
    • Place of Presentation
      九州大学(伊都キャンパス)
    • Year and Date
      2016-03-16
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP回路のためのMajorityゲートに基づいた帰還形ラッチの提案2016

    • Author(s)
      辻直樹、竹内尚輝、知名史博、奈良間達也、Tohmas Ortlepp、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Year and Date
      2016-01-21
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Reversibility and energy dissipation in adiabatic quantum-flux-parametron logic2016

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Year and Date
      2016-09-08
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] HDL-based Modeling Approach for Adiabatic Superconductor Logic Simulation2016

    • Author(s)
      Qiuyun. Xu, Christopher. L. Ayala, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Year and Date
      2016-01-21
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] ダブルゲートプロセスを用いた断熱型量子磁束パラメトロンセルライブラリの設計と動作実証2016

    • Author(s)
      安藤拓生、辻 直樹、知名史博、竹内尚輝、永沢秀一、日高睦夫、山梨裕希、吉川信行
    • Organizer
      金属・セラミックス超電導機器合同研究会
    • Place of Presentation
      産業技術総合研究所
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Evaluation of Current Sensitivity of Quantum Flux Parametron2016

    • Author(s)
      Y. Yamanashi, T. Matsushima, N. Takeuchi, N. Yoshikawa, T. Ortlepp
    • Organizer
      International Workshop on Superconducting Sensors & Detectors (IWSSD) 2016
    • Place of Presentation
      AIST Tsukuba, Japan
    • Year and Date
      2016-11-14
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and demonstration of superconducting voltage drivers for adiabatic quantum-flux-parametron logic2016

    • Author(s)
      N. Takeuchi, H. Suzuki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] CORE e: シフトレジスタメモリを統合した単一磁束量子8ビットマイクロプロセッサ2016

    • Author(s)
      田中雅光、高田賢介、佐藤 諒、藤巻 朗(名大)、安藤友紀、川口隆広、高木一義、高木直史(京大)、吉川信行
    • Organizer
      2016電子情報通信学会総合大会
    • Place of Presentation
      九州大学(伊都キャンパス)
    • Year and Date
      2016-03-16
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] カレントリサイクル技術を用いた単一磁束量子時間測定回路の低電流化2016

    • Author(s)
      佐野 京佑、下田 知毅、阿部 裕、山梨 裕希、吉川 信行
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東工大大岡山キャンパス
    • Year and Date
      2016-03-20
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration of 64-kb Josephson-CMOS Hybrid Memories with SFQ Inputs and Outputs2016

    • Author(s)
      G. Konno, Y. Yamanashi and N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2016-08-02
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Readout and Signal Processing using Superconducting Circuits for Low-Temperature Detectors2016

    • Author(s)
      N. Yoshikawa, N. Tsuji, F. China, T. Matsushima, S. Kobako, Q. Xu, C. Ayala, N. Takeuchi, Y. Yamanashi, T. Ortlepp
    • Organizer
      Workshop on Detector Technologies for High Energy Physics
    • Place of Presentation
      CiS Research Institute, Erfurt, Germany
    • Year and Date
      2016-11-27
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] HSTPプロセスでのAQFPセルライブラリの設計2016

    • Author(s)
      安藤 拓生、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東工大大岡山キャンパス
    • Year and Date
      2016-03-20
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Experimental and simulation results of a symmetrical pad to reduce a stray ground current in superconducting integrated circuits2016

    • Author(s)
      H. Suzuki, T. Ono, N. Yoshikawa
    • Organizer
      29th International Symposium on Superconductivity (ISS2016)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2016-12-13
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型磁束量子パラメトロン4万ゲート回路の歩留まり評価2016

    • Author(s)
      奈良間達也、竹内尚輝、Tohmas Ortlepp、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Year and Date
      2016-01-21
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] ダブルゲートプロセスを用いたダブルアクティブレイヤAQFP 回路の動作実証2016

    • Author(s)
      安藤 拓生, 辻 直樹, 知名 史博, 竹内 尚輝, 永沢 秀一, 日高 睦夫, 山梨 裕希, 吉川 信行
    • Organizer
      2016年度応用物理学会秋季学術講演会
    • Place of Presentation
      朱鷺メッセ(新潟)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] SFQ論理ゲートの電流比較部の最適化による動作安定性の向上2016

    • Author(s)
      朝倉剣太、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Year and Date
      2016-01-21
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱動作に基づく超低消費電力超電導ディジタル集積回路技術2016

    • Author(s)
      吉川信行
    • Organizer
      金属・セラミックス 超電導機器 合同研究会、電気学会
    • Place of Presentation
      大阪大学
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱動作に基づく超低消費電力超伝導ディジタル回路技術2016

    • Author(s)
      吉川信行
    • Organizer
      断熱動作に基づく超低消費電力超伝導ディジタル回路技術”、超伝導エレクトロニクス第146委員会 第95回研究会
    • Place of Presentation
      学士会館本館
    • Year and Date
      2016-04-21
    • Invited
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] Design and Implementation of an 8-Word by 1-Bit Register File Using Adiabatic Quantum Flux Parametron Logic2016

    • Author(s)
      N. Tsuji, C. Ayala, N. Takeuchi, T. Ortlepp, Y. Yamanashi and N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      okohama National University, Yokohama
    • Year and Date
      2016-08-02
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP回路の論理しきい値におけるグレーゾーンのシミュレーション2016

    • Author(s)
      松島 孝、竹内 尚輝、Ortlepp Thomas、山梨 裕希、吉川 信行
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東工大大岡山キャンパス
    • Year and Date
      2016-03-20
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 64-kb SFQ/CMOSハイブリッドメモリの測定と評価2016

    • Author(s)
      今野 元、松本紗弥、山梨裕希、吉川信行
    • Organizer
      2016電子情報通信学会総合大会
    • Place of Presentation
      九州大学(伊都キャンパス)
    • Year and Date
      2016-03-16
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 動的に制御可能な超伝導位相制御回路の設計とその評価2016

    • Author(s)
      山本 竜平、山梨 裕希、吉川 信行
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東工大大岡山キャンパス
    • Year and Date
      2016-03-20
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] HDL-based Modeling Approach for Digital Simulation of Adiabatic Quantum Parametron Logic2016

    • Author(s)
      Qiuyun Xu, Christopher L. Ayala, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa
    • Organizer
      2016電子情報通信学会総合大会
    • Place of Presentation
      九州大学(伊都キャンパス)
    • Year and Date
      2016-03-16
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Readout and Signal Processing using Superconducting Circuits for Low-Temperature Detectors2016

    • Author(s)
      N. Yoshikawa, N. Tsuji, F. China, T. Matsushima, S. Kobako, Q. Xu, C. Ayala, N. Takeuchi, Y. Yamanashi, T. Ortlepp
    • Organizer
      Workshop on Detector Technologies for High Energy Physics
    • Place of Presentation
      CiS Research Institute, Erfurt, Germany
    • Year and Date
      2016-11-27
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] An 8-bit Kogge-Stone Adder Optimized for Adiabatic Quantum-Flux-Parametron Majority Logic2016

    • Author(s)
      Christopher Ayala, Naoki Takeuchi, Yuki Yamanashi, Thomas Ortlepp, Nobuyuki Yoshikawa
    • Organizer
      2016電子情報通信学会総合大会
    • Place of Presentation
      九州大学(伊都キャンパス)
    • Year and Date
      2016-03-16
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration of Signal Transmission between Adiabatic Quantum-Flux-Parametrons and Rapid Single-Flux-Quantum Circuits Using Superconductive Microstrip Lines2016

    • Author(s)
      F. China, N. Tsuji, T. Narama, N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Reversibility and energy dissipation in adiabatic quantum-flux-parametron logic2016

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Study on Josephson comparators for readout of superconducting detectors2016

    • Author(s)
      Y. Abe, K. Sano, Y. Yamanashi, N. Yoshikawa
    • Organizer
      The 3rd International Workshop on Superconducting Sensors and Detectors (IWSSD 2016)
    • Place of Presentation
      AIST Tsukuba Campus, Japan
    • Year and Date
      2016-11-14
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP回路を用いた16-word × 1-bitレジスタファイルの設計2016

    • Author(s)
      辻 直樹, Ayala Christopher, 竹内 尚輝, Ortlepp Thoma,山梨 裕希, 吉川 信行
    • Organizer
      2016年度応用物理学会秋季学術講演会
    • Place of Presentation
      朱鷺メッセ(新潟)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 磁束量子パラメトロンの電流感度の調査2016

    • Author(s)
      山梨裕希、松島 孝、竹内尚輝、吉川信行
    • Organizer
      電子情報通信学会超電導エレクトロニクス研究会
    • Place of Presentation
      東北大学電気通信研究所
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱動作に基づく超低消費電力超伝導ディジタル回路技術2016

    • Author(s)
      吉川信行
    • Organizer
      超伝導エレクトロニクス第146委員会 第95回研究会
    • Place of Presentation
      学士会館本館
    • Invited
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 量子磁束パラメトロンを用いたランダムアクセスメモリセルの検討2016

    • Author(s)
      高山 広、辻 直樹、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      金属・セラミックス超電導機器合同研究会
    • Place of Presentation
      産業技術総合研究所
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Towards VLSI Design and Development of AQFP EDA Software Considering 1 mm Wire Limitation2016

    • Author(s)
      Y. Murai, C. Ayala, N. Takeuchi, Y. Yamanashi and N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2016-08-02
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] HDL-Based Cell Library for AQFP Logic Using 4-Phase Clock2016

    • Author(s)
      Q. Xu, C. L. Ayala, N. Takeuchi, Y. Yamanashi and N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2016-08-02
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Low-energy High-performance Computing based on Superconducting Technology2016

    • Author(s)
      N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP回路の論理しきい値におけるグレーゾーンの測定2016

    • Author(s)
      松島 孝, Ortlepp Thomas, 竹内 尚輝, 山梨 裕希, 吉川 信行
    • Organizer
      2016年度応用物理学会秋季学術講演会
    • Place of Presentation
      朱鷺メッセ(新潟)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Implementation of a Double-Active-Layered AQFP Cell Library Using Double Gate Process2016

    • Author(s)
      T. Ando, N. Tsuji, F. China, N. Takeuchi, S. Nagasawa, M. Hidaka, Y. Yamanashi, N. Yoshikawa
    • Organizer
      29th International Symposium on Superconductivity (ISS2016)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2016-12-13
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] サブミリ波解析に向けた断熱型量子磁束パラメトロン回路と単一磁束量子回路を用いた自己相関器の比較2016

    • Author(s)
      小箱紗希、山梨裕希、吉川信行
    • Organizer
      金属・セラミックス超電導機器合同研究会
    • Place of Presentation
      産業技術総合研究所
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and Evaluation of Adiabatic-Quantum-Flux-Parametron Autocorrelators for Submillimeter-Wave Spectrometry2016

    • Author(s)
      S. Kobako, Y. Yamanashi, N. Yoshikawa
    • Organizer
      29th International Symposium on Superconductivity (ISS2016)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2016-12-13
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子リング・オシレータのジッタの評価2016

    • Author(s)
      下田 知毅、佐野 京佑、阿部 裕、山梨 裕希、吉川 信行
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東工大大岡山キャンパス
    • Year and Date
      2016-03-20
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Josephson-CMOS Hybrid Memory with nanocryotrons2016

    • Author(s)
      A. Fujimaki, Y. Ito, M. Suzuki, M. Tanaka, G. Konno, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 1kb低消費電力化シフトレジスタメモリの高速動作実証2016

    • Author(s)
      高橋敏弘、沼口 涼、山梨裕希、吉川信行
    • Organizer
      2016電子情報通信学会総合大会
    • Place of Presentation
      九州大学(伊都キャンパス)
    • Year and Date
      2016-03-16
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Kogge-Stone and Brent-Kung Adders Optimized for Adiabatic Quantum-Flux-Parametron Majority Logic2016

    • Author(s)
      C. L. Ayala, N. Takeuchi, T. Ortlepp, Y. Yamanashi and N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2016-08-02
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Study of multi-excitation AQFP circuits for high-speed and low-latency operations2016

    • Author(s)
      K. Fang, T. Ando, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Year and Date
      2016-09-08
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16K14248
  • [Presentation] 単一磁束量子回路と断熱型磁束量子パラメトロン間インター フェースのための動作周波数変換回路の検討2016

    • Author(s)
      知名 史博, 辻 直樹, 竹内 尚輝, Ortlepp Thomas, 山梨 裕希, 吉川 信行
    • Organizer
      2016年度応用物理学会秋季学術講演会
    • Place of Presentation
      朱鷺メッセ(新潟)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] SFQ RAMの構築に向けたメモリセルとデコーダ回路の設計と評価2016

    • Author(s)
      五十嵐 丈、宮西 駿、鈴木 秀雄、山梨 裕希、吉川 信行
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東工大大岡山キャンパス
    • Year and Date
      2016-03-20
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Performance comparison between a Josephson comparator and an adiabatic quantum flux parametron based comparator2016

    • Author(s)
      T. Ortlepp, T. Matsushima, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] A random access memory cell using quantum flux parametron2016

    • Author(s)
      H. Takayama, N. Tsuji, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      29th International Symposium on Superconductivity (ISS2016)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2016-12-13
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] A Review of Current Progress of Adiabatic Quantum-Flux-Parametron Logic2016

    • Author(s)
      N. Takeuchi, C. L. Ayala, Q. Xu, F. China, N. Tsuji, T. Ando, Y. Murai, K. Fang, Y. Yamanashi, N. Yoshikawa and T. Ortlepp
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2016-08-02
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型磁束量子パラメトロンの可逆性と消費エネルギーに関する検討2016

    • Author(s)
      竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Year and Date
      2016-01-21
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Synthesis Flow for Cell-Based Adiabatic Quantum-Flux-Parametron Structural Circuit Generation with HDL Backend Verification2016

    • Author(s)
      Q. Xu, C. L. Ayala,N. Takeuchi, Y. Murai, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Majority-logic-optimized parallel prefix carry look-ahead adder families using adiabatic quantum-flux-parametron logic2016

    • Author(s)
      C. L. Ayala, N. Takeuchi, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Proposal of multi-logic-stage AQFP circuits2016

    • Author(s)
      K. Fang, N. Takeuchi, Y. Yamanashi and N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2016-08-02
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Yield evaluation of 83k-junction adiabatic-quantum-flux-parametron circuit2016

    • Author(s)
      T. Narama, F. China, N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 対称構造パッドによる超伝導集積回路のグランド電流低減化の測定2016

    • Author(s)
      鈴木秀雄、小;野智裕、吉川信行
    • Organizer
      2016年電子情報通信ソサイエティ大会
    • Place of Presentation
      北海道大学(札幌市)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Controllable Superconducting Phase Shifter Using Single Flux Quantum Circuit2016

    • Author(s)
      Y. Yamanashi, R. Yamamoto, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] サブミリ波分光に向けた超伝導多ビット自己相関器の研究2016

    • Author(s)
      小箱 紗希, 山梨 裕希, 吉川 信行
    • Organizer
      2016年度応用物理学会秋季学術講演会
    • Place of Presentation
      朱鷺メッセ(新潟)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 微小電流検出に向けたジョセフソンコンパレータの最適化と評価2016

    • Author(s)
      阿部 裕、佐野 京佑、 山梨 裕希、 吉川 信行
    • Organizer
      2016年度春季低温工学・超電導学会
    • Place of Presentation
      タワーホール船堀(東京)
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Study of multi-excitation AQFP circuits for high-speed and low-latency operations2016

    • Author(s)
      K. Fang, T. Ando, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2016 Appl. Superconductivity Conference (ASC 2016)
    • Place of Presentation
      Denver, Colorado, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] オンチップSFQ FFTプロセッサの設計と要素回路の動作実証2016

    • Author(s)
      小野智裕、坂下洋介、山梨裕希、吉川信行
    • Organizer
      2016電子情報通信学会総合大会
    • Place of Presentation
      九州大学(伊都キャンパス)
    • Year and Date
      2016-03-16
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] First Demonstration of Double-Active-Layered AQFP Circuits Using Double Gate Process2016

    • Author(s)
      T. Ando, N. Tsuji, F. China, N. Takeuchi , S. Nagasawa, M. Hidaka, Y. Yamanashi and N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2016)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2016-08-02
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration and Improvement of Superconducting Time-of-Flight Mass Spectrometry Systems Operated in a Cryo-Cooler2015

    • Author(s)
      K. Sano, T. Shimoda, Y. Yamanashi, N. Yoshikawa, N. Zen, M. Ohkubo
    • Organizer
      European Conference on Applied Superconductivity(EUCAS2015)
    • Place of Presentation
      Lyon, FRANCE
    • Year and Date
      2015-09-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型磁束量子パラメトロン1万ゲート回路の低速動作実証2015

    • Author(s)
      奈良間 達也、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会、14a-A2-5
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導物理乱数生成器の動作安定のための後処理回路の研究2015

    • Author(s)
      菅田 裕道、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会、13p-A2-2
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-13
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Single-Flux-Quantum Arithmetic Logic Unit Using Dynamically Reconfigurable Logic Gate2015

    • Author(s)
      Y. Yamanashi , S. Nishimoto , N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 磁束量子ラッチを用いたXORゲートの提案と動作実証2015

    • Author(s)
      竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 単一磁束量子時間測定回路と超伝導ストリップイオン検出器を用いた超伝導質量分析システムの実装と評価2015

    • Author(s)
      佐野京佑、村松祐希、下田知毅、山梨裕希、吉川信行、全 伸幸、大久保雅隆
    • Organizer
      電子情報通信学会技術研究報告
    • Place of Presentation
      機械振興会館(東京)
    • Year and Date
      2015-01-22
    • Invited
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 超伝導ストリップ粒子検出器と超伝導デジタル信号処理回路の開発2015

    • Author(s)
      全 伸幸、佐野 京佑、志岐 成友、藤井 剛、浮辺 雅宏、吉川 信行、大久保 雅隆
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-14
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Timing Extraction for Logic Simulation of VLSI Adiabatic Quantum-Flux-Parametron Circuits2015

    • Author(s)
      Christopher L.Ayala, Naoki Takeuchi, Qiuyun Xu, Tatsuya Narama, Yuki Ymanashi, Thomas Ortlepp, Nobuyuki Yoshikawa
    • Organizer
      電子情報通信学会技術研究報告
    • Place of Presentation
      東北大学
    • Year and Date
      2015-10-08
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] (Invited) SFQ readout circuits for TOF MS systems: Present status and future directions2015

    • Author(s)
      N. Yoshikawa
    • Organizer
      Workshop on readout electronics for radiation and particle detectors
    • Place of Presentation
      Erfurt, Germany
    • Year and Date
      2015-12-20
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 2種類の乱数生成器を用いた超伝導乱数生成システムの動作領域の評価2015

    • Author(s)
      菅田裕道、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会技術研究報告
    • Place of Presentation
      横浜国立大学
    • Year and Date
      2015-08-04
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration of a Superconducting Time-of-Flight Mass Spectrometry System Operated in a Cryo- Cooler2015

    • Author(s)
      K. Sano, Y. Muramatsu, T. Shimoda, Y. Yamanashi, N. Yoshikawa, N. Zen, M. Ohkubo
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Towards VLSI Adiabatic Quantum-Flux-Parametron Logic Circuits2015

    • Author(s)
      C. L. Ayala, N. Takeuchi, Q. Xu, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-10
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 非対称3接合SQUIDを用いた超伝導ループドライバの検討2015

    • Author(s)
      宮西 駿、五十嵐 丈、高 澤時、鈴木秀雄、山梨裕希、吉川信行
    • Organizer
      2015電子情報通信学会総合大会、C-8-4
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス(草津市)
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] ミニマルAQFPセルライブラリを用いた8 bit加算器の動作実証2015

    • Author(s)
      竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2015電子情報通信学会総合大会、C-8-11
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス(草津市)
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子回路と断熱型磁束量子パラメトロン回路間インターフェース回路の最適化2015

    • Author(s)
      知名 史博、奈良間 達也、竹内 尚輝、山梨 裕希、吉川信行
    • Organizer
      第91回2015年度春季 低温工学・超電導学会
    • Place of Presentation
      産業技術総合研究所つくばセンター共用講堂(茨城県)
    • Year and Date
      2015-05-27
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導回路を用いた飛行時間型質量分析システムの研究2015

    • Author(s)
      佐野 京佑、下田知毅、山梨裕希、吉川信行
    • Organizer
      平成27年電気学会・基礎・材料・共通部門大会
    • Place of Presentation
      金沢大学
    • Year and Date
      2015-09-17
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Grounding Methods to Reduce Stray Coupling in Multi-Layer Layouts2015

    • Author(s)
      C. J. Fourie , S. Miyanishi , N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子FFTプロセッサの設計とコンポーネント高速テスト2015

    • Author(s)
      吉川 信行、坂下 洋介、小野 智裕、山梨 裕希
    • Organizer
      第91回2015年度春季 低温工学・超電導学会
    • Place of Presentation
      産業技術総合研究所つくばセンター共用講堂(茨城県)
    • Year and Date
      2015-05-27
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design Methodology for Very-Large-Scale-Integration of Adiabatic Quantum-Flux-Parametron Logic Superconductor Circuits2015

    • Author(s)
      Christopher Ayala, Naoki Takeuchi, Qiuyun Xu, Yuki Murai, Yuki Yamanashi, Thomas Ortlepp, Nobuyuki Yoshikawa
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子回路と断熱型磁束量子パラメトロン回路間インターフェース回路の設計と評価2015

    • Author(s)
      知名 史博、Ortlepp Thomas、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 単極性VTMメモリセルの設計と評価2015

    • Author(s)
      五十嵐 丈、宮西 駿、鈴木 秀雄、山梨 裕希、吉川 信行
    • Organizer
      2015年度秋季低温工学・超電導学会
    • Place of Presentation
      姫路商工会議所
    • Year and Date
      2015-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Improvement of energy efficiency of 64-kb Josephson-CMOS hybrid memories2015

    • Author(s)
      ) Y. Sasaki, G. Konno, Y. Yamanashi, N. Yoshikawa, T. Ortlepp
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] ミニマルAQFPセルライブラリを用いた8 bit加算器の動作実証2015

    • Author(s)
      竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2015電子情報通信学会総合大会
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 超伝導集積回路のグランド電流による影響の低減化検討2015

    • Author(s)
      鈴木秀雄、彭 析竹、吉川信行
    • Organizer
      2015電子情報通信学会総合大会、C-8-2
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス(草津市)
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 確率共鳴現象を用いた超伝導メモリシステムの消費電力の低減2015

    • Author(s)
      木原 涼輔、山梨 裕希、 吉川 信行
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 有限温度における断熱型磁束量子パラメトロンの消費エネルギーに関する考察2015

    • Author(s)
      竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会技術研究報告
    • Place of Presentation
      東北大学
    • Year and Date
      2015-10-08
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 非対称3接合SQUIDを用いた超伝導ループドライバの検討2015

    • Author(s)
      宮西 駿、五十嵐 丈、高 澤時、鈴木秀雄、山梨裕希、吉川信行
    • Organizer
      2015電子情報通信学会総合大会
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 単一磁束量子回路と断熱型磁束量子パラメトロン回路間インターフェース回路の設計と評価2015

    • Author(s)
      知名 史博、Ortlepp Thomas、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会、14a-A2-6
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型磁束量子パラメトロン1万ゲート回路の低速動作実証2015

    • Author(s)
      奈良間 達也、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design and High-Speed Component Tests of an SFQ FFT Processor using the 10 kA/cm2 Advanced Process2015

    • Author(s)
      Y. Sakashita, T. Ono, Y. Yamanashi1, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] A Timing and Energy Extraction Approach for Logic Simulation of VLSI Adiabatic Quantum-Flux-Parametron Circuits2015

    • Author(s)
      C. Ayala, N. Takeuchi, Q. XU, T. Narama, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 磁気結合型磁束量子ラッチの提案と動作実証2015

    • Author(s)
      知名史博、奈良間達也、辻 直樹、竹内尚輝、山梨裕希、吉川信行、Thomas Ortlepp
    • Organizer
      電子情報通信学会技術研究報告
    • Place of Presentation
      横浜国立大学
    • Year and Date
      2015-08-04
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design of Extremely Energy-Efficient Hardware Algorithm Using Adiabatic Superconductor Logic2015

    • Author(s)
      Q. Xu , C. L. Ayala , N. Takeuchi , Y. Yamanashi , N. Yoshikawa , T. Ortlepp
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] カレントリサイクル用ドライバー回路の磁気結合部の評価2015

    • Author(s)
      佐野 京佑、山梨 裕希、吉川 信行
    • Organizer
      第91回2015年度春季 低温工学・超電導学会
    • Place of Presentation
      産業技術総合研究所つくばセンター共用講堂(茨城県)
    • Year and Date
      2015-05-27
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導マイクロストリップラインを用いた断熱型磁束量子パラメトロン間の 信号伝達回路の評価2015

    • Author(s)
      知名 史博、奈良間 達也、辻 直樹、竹内 尚輝、Ortlepp Thomas、山梨 裕希、 吉川 信行
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 複合イベント処理用SFQ Complex Event Detector回路の設計と評価2015

    • Author(s)
      小野智裕、坂下洋介、山梨裕希、吉川信行
    • Organizer
      2015電子情報通信学会総合大会
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 1k bit低消費電力化シフトレジスタメモリの改良2015

    • Author(s)
      高橋敏弘、沼口 涼、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2015年ソサイエティ大会
    • Place of Presentation
      東北大学(仙台市)
    • Year and Date
      2015-09-10
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Power Reduction of Josephson Random Access Memory Using Stochastic Resonance2015

    • Author(s)
      ) R. Kihara , Y. Yamanashi , N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 動的な内部リセット機構を備えたLRバイアス単一磁束量子回路の設計と動作検証2015

    • Author(s)
      山梨 裕希、堤 隆太、清水 勝太、吉川 信行
    • Organizer
      2015年度秋季低温工学・超電導学会
    • Place of Presentation
      姫路商工会議所
    • Year and Date
      2015-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Study on energy dissipation in adiabatic quantum-flux-parametron logic at finite temperature2015

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      European Conference on Applied Superconductivity(EUCAS2015)
    • Place of Presentation
      Lyon, FRANCE
    • Year and Date
      2015-09-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 複合イベント処理用SFQ Complex Event Detector回路の設計と評価2015

    • Author(s)
      小野智裕、坂下洋介、山梨裕希、吉川信行
    • Organizer
      2015電子情報通信学会総合大会、C-8-9
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス(草津市)
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] SFQ/CMOSハイブリッドメモリにおけるデコーダの低消費電力化2015

    • Author(s)
      今野 元、佐々木悠太、彭 析竹、山梨裕希、吉川信行
    • Organizer
      2015電子情報通信学会総合大会
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Quantum Flux Latchの動作マージンの改善2015

    • Author(s)
      辻 直樹、竹内 直輝、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会、14a-A2-3
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design of a Complex Event Detector Circuit for Complex Event Processing System Using SFQ Circuits2015

    • Author(s)
      T. Ono, Y. Yamanashi , N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-10
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 動的な内部リセット機構によるLRバイアス低電力単一磁束量子回路の高速化2015

    • Author(s)
      佐藤 紘史、堤 隆太、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会、14a-A2-10
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導集積回路のグランド電流による影響の低減化検討2015

    • Author(s)
      鈴木秀雄、彭 析竹、吉川信行
    • Organizer
      2015電子情報通信学会総合大会
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] サブミリ波分光に向けた超伝導自己相関器の設計および評価2015

    • Author(s)
      小箱 紗希、山梨 裕希、吉川 信行
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-14
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 1-k bit低消費電力化シフトレジスタメモリの設計2015

    • Author(s)
      高橋敏弘,沼口 涼,山梨裕希,吉川信行
    • Organizer
      2015電子情報通信学会総合大会
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 電流比較部を最適化したSFQ論理ゲートにおけるビットエラーレートの測定2015

    • Author(s)
      朝倉 剣太、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] New Random Number Generation System by Combining Superconducting Physical- and PseudoRandom Number Generators2015

    • Author(s)
      H. Sugata , Y. Yamanashi , N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] (keynote) Ultra-energy-efficient adiabatic superconducting logic: what is the minimum energy limit in computation?2015

    • Author(s)
      N. Yoshikawa
    • Organizer
      2015 Joint UK-Japan Workshop on Physics and Applications of Superconductivity
    • Place of Presentation
      University of Cambridge, Cambridge, UK
    • Year and Date
      2015-04-12
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型磁束量子パラメトロン1万ゲート回路における磁束トラッピングの影響2015

    • Author(s)
      奈良間達也、竹内尚輝、Thomas Ortlepp、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会技術研究報告
    • Place of Presentation
      横浜国立大学
    • Year and Date
      2015-08-04
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] シフトレジスタ型とカウンタ型を併用した超伝導単一磁束量子デシメーショ ンフィルタの設計2015

    • Author(s)
      若松 十夢、山梨 裕希、吉川 信行
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 電流比較部を最適化したSFQ論理ゲートにおけるビットエラーレートの測定2015

    • Author(s)
      朝倉 剣太、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会、14a-A2-8
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Quantum Flux Latchの動作マージンの改善2015

    • Author(s)
      辻 直樹、竹内 直輝、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Magnetically Coupled Quantum Flux Latch with Large Bias Margins2015

    • Author(s)
      N. Tsuji, N. Takeuchi, T. Narama, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 有限温度における AQFP 回路のエネルギー効率に関する検討2015

    • Author(s)
      竹内 尚輝、山梨 裕希、 吉川 信行
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 高分解能 TDC に向けた DAND ゲートの改良2015

    • Author(s)
      下田 知毅, 佐野 京佑, 山梨 裕希, 吉川 信行
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] (Invited) Development of Bit-Serial RSFQ Microprocessors Integrated with Shift-Register-Based Random Access Memories2015

    • Author(s)
      M. Tanaka, K. Takata, R. Sato, A. Fujimaki, T. Kawaguchi, Y. Ando, K. Takagi, N. Takagi, N. Yoshikawa, N. Zen, M. Ohkubo
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導物理乱数生成器の動作安定のための後処理回路の研究2015

    • Author(s)
      菅田 裕道、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-13
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Improvement of Operation Speed of LR-Biased LowPower Single Flux Quantum Circuits by Introduction of Dynamic Resetting of Bias Currents2015

    • Author(s)
      R. Tsutsumi , K. Sato , Y. Yamanashi , N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 動的に再構成可能な単一磁束論理ゲートを用いたALUの設計と評価2015

    • Author(s)
      西本昇平、山梨裕希、吉川信行
    • Organizer
      2015電子情報通信学会総合大会
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Investigation of Reducing Harmful Effect of Bias Return Current on Ground Plane in Superconducting Integrated Circuits2015

    • Author(s)
      H. Suzuki , X. Peng , N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Creation of a Logic Simulation Model for Adiabatic Quantum Flux Parametron Logic2015

    • Author(s)
      Q. XU, C. Ayala, N. Takeuchi, T. Ortlepp, N. Yoshikawa
    • Organizer
      European Conference on Applied Superconductivity(EUCAS2015)
    • Place of Presentation
      Lyon, FRANCE
    • Year and Date
      2015-09-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導ランダムアクセスメモリのためのSFQ NORデコーダの特性改善2015

    • Author(s)
      宮西 駿、鈴木 秀雄、山梨 祐希、吉川信行
    • Organizer
      2015年度秋季低温工学・超電導学会
    • Place of Presentation
      姫路商工会議所
    • Year and Date
      2015-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFPセルライブラリのための定数セルのパラメータ検討2015

    • Author(s)
      安藤 拓生、竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      2015年度秋季低温工学・超電導学会
    • Place of Presentation
      姫路商工会議所
    • Year and Date
      2015-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 動的に再構成可能な単一磁束論理ゲートを用いたALUの設計と評価2015

    • Author(s)
      西本昇平、山梨裕希、吉川信行
    • Organizer
      2015電子情報通信学会総合大会、C-8-1
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス(草津市)
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Study of Signal Interface between Single Flux Quantum Circuit and Adiabatic Quantum Flux Parametron2015

    • Author(s)
      F. China, T. Ortlepp, T. Narama, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 飛行時間型超伝導質量分析システムの改善と評価2015

    • Author(s)
      佐野 京佑、 下田 知毅、山梨 裕希、吉川 信行、全 伸幸、大久保 雅隆
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型磁束量子パラメトロン多分岐 Splitter におけるゲート間相互作用の 評価2015

    • Author(s)
      奈良間 達也、竹内 尚輝、辻 直樹、 Ortlepp Thomas、 山梨 裕希、 吉川 信行
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Adiabatic Quantum-Flux-Parametron Cell Library with Minimalist Design and Symmetric Layout2015

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 飛行時間型質量分析に向けた超伝導ストリップイオン検出器とSFQ時間測定回路の単一冷凍機内への実装2015

    • Author(s)
      佐野 京佑、村松 祐希、下田 知毅、山梨 裕希、吉川 信行、全 伸幸、大久保 雅隆
    • Organizer
      第62回応用物理学会春季学術講演会、13p-A2-3
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-13
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] (Invited) Demonstration of 10k gate-scale adiabatic-quantumflux-parametron circuits2015

    • Author(s)
      T. Narama, N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 1-k bit低消費電力化シフトレジスタメモリの設計2015

    • Author(s)
      高橋敏弘,沼口 涼,山梨裕希,吉川信行
    • Organizer
      2015電子情報通信学会総合大会、C-8-5
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス(草津市)
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design of an Extremely Energy-Efficient Hardware Algorithm Using Adiabatic Superconductor Logic and Its Digital Modeling Approach2015

    • Author(s)
      Q.Xu, C. L. Ayala, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      電子情報通信学会技術研究報告
    • Place of Presentation
      横浜国立大学
    • Year and Date
      2015-08-05
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導回路におけるモートの最適構造及び配置の検討2015

    • Author(s)
      今井 響、山梨 裕希、吉川 信行
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型磁束量子パラメトロンのスケーリング則2015

    • Author(s)
      竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会技術研究報告
    • Place of Presentation
      横浜国立大学
    • Year and Date
      2015-08-04
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 動的な内部リセット機構によるLRバイアス低電力単一磁束量子回路の高速化2015

    • Author(s)
      佐藤 紘史、堤 隆太、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 【招待講演】単一磁束量子時間測定回路と超伝導ストリップイオン検出器を用いた超伝導質量分析システムの実装と評価2015

    • Author(s)
      佐野京佑、村松祐希、下田知毅、山梨裕希、吉川信行、全 伸幸、大久保雅隆
    • Organizer
      電子情報通信学会技術研究報告SCE2014-53
    • Place of Presentation
      機械振興会館(東京)
    • Year and Date
      2015-01-22
    • Invited
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] AQFP回論におけるMajorityゲートを用いた帰還型ラッチの提案2015

    • Author(s)
      辻 直樹、竹内尚輝、吉川信行
    • Organizer
      電子情報通信学会2015年ソサイエティ大会
    • Place of Presentation
      東北大学(仙台市)
    • Year and Date
      2015-09-10
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 動的な内部リセット機構を持つLRバイアス方法を用いたジョセフソン伝送路とシフトレジスタの評価2015

    • Author(s)
      堤 隆太、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会技術研究報告
    • Place of Presentation
      横浜国立大学
    • Year and Date
      2015-08-04
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Energy Efficiency of Adiabatic Quantum-FluxParametron Logic2015

    • Author(s)
      N. Takeuchi , Y. Yamanashi , N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 飛行時間型質量分析に向けた超伝導ストリップイオン検出器とSFQ時間測定回路の単一冷凍機内への実装2015

    • Author(s)
      佐野 京佑、村松 祐希、下田 知毅、山梨 裕希、吉川 信行、全 伸幸、大久保 雅隆
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-13
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 磁束量子ラッチを用いたXORゲートの提案と動作実証2015

    • Author(s)
      竹内 尚輝、山梨 裕希、吉川 信行
    • Organizer
      第62回応用物理学会春季学術講演会、14a-A2-1
    • Place of Presentation
      東海大学湘南キャンパス(神奈川県)
    • Year and Date
      2015-03-14
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] MFQドライバ駆動による超伝導ループドライバの検討2015

    • Author(s)
      宮西 駿、鈴木秀雄、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2015年ソサイエティ大会
    • Place of Presentation
      東北大学(仙台市)
    • Year and Date
      2015-09-10
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] SFQ/CMOSハイブリッドメモリにおけるデコーダの低消費電力化2015

    • Author(s)
      今野 元、佐々木悠太、彭 析竹、山梨裕希、吉川信行
    • Organizer
      2015電子情報通信学会総合大会、C-8-6
    • Place of Presentation
      立命館大学びわこ・くさつキャンパス(草津市)
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Performance Analysis of the Adiabatic Quantum Flux Parametron in terms of Sensitivity, Speed and Power Dissipation2015

    • Author(s)
      T. Ortlepp, N. Takeuchi, C. Ayala, J. Stark, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2015)
    • Place of Presentation
      Nagoya, JAPAN
    • Year and Date
      2015-07-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子回路におけるSQUID磁気結合型多入力合流回路の高速動作実証2014

    • Author(s)
      佐藤紘史、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2014-32
    • Place of Presentation
      機械振興会館
    • Year and Date
      2014-07-23
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Adiabatic Superconducting Circuits and Reversible Computing2014

    • Author(s)
      N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop for Young Scientists (SSV 2014-YS)
    • Place of Presentation
      Nagoya, Japan
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] ラッチ回路を用いた断熱磁束量子パラメトロン回路の高速動作実証2014

    • Author(s)
      竹内尚輝、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会、17p-A20-5
    • Place of Presentation
      北海道大学札幌キャンパス(札幌市)
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子時間測定回路と超伝導ストリップイオン検出器を用いた高分子の飛行時間型質量分析2014

    • Author(s)
      佐野京佑、村松祐希、下田知毅、山梨裕希、吉川信行、全 伸幸、大久保雅隆
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2014-26
    • Place of Presentation
      機械振興会館
    • Year and Date
      2014-07-23
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] CMOS可逆論理回路における可逆論理動作実証2014

    • Author(s)
      井上孔佑、安井雄紀、吉川信行
    • Organizer
      電子情報通信学会2014年ソサイエティ大会、A-1-17
    • Place of Presentation
      徳島大学常三島キャンパス(徳島市)
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子論理ゲートの論理動作における熱雑音の影響の調査2014

    • Author(s)
      増渕光太、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道大学札幌キャンパス
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 飛行時間型質量分析用SFQ電流検出器におけるグレーゾーンの測定2014

    • Author(s)
      村松祐希、佐野京佑、下田知毅、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会、17p-A20-2
    • Place of Presentation
      北海道大学札幌キャンパス(札幌市)
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Adiabatic Superconducting Circuits and Reversible Computing2014

    • Author(s)
      N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop for Young Scientists (SSV 2014-YS)
    • Place of Presentation
      Nagoya, Japan
    • Invited
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 断熱型磁束量子パラメトロン回路における多分岐Splitterの設計と評価2014

    • Author(s)
      奈良間達也、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会、17p-A20-4
    • Place of Presentation
      北海道大学札幌キャンパス(札幌市)
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] FastHenryを用いた超伝導集積回路のグランド電流の検討2014

    • Author(s)
      鈴木秀雄、吉川信行
    • Organizer
      電子情報通信学会2014年ソサイエティ大会、C-8-6
    • Place of Presentation
      徳島大学常三島キャンパス(徳島市)
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 10kA/cm2プロセスを用いたSFQ FFTプロセッサ用負数演算可能なバタフライ演算回路の動作実証2014

    • Author(s)
      坂下洋介、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2014年ソサイエティ大会、C-8-16
    • Place of Presentation
      徳島大学常三島キャンパス(徳島市)
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] SFQ論理ゲートにおける電流比較部の最適化によるビットエラーレートの改善2014

    • Author(s)
      朝倉剣太、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Year and Date
      2014-07-23
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] ラッチ回路を用いた断熱磁束量子パラメトロン回路の高速動作実証2014

    • Author(s)
      竹内尚輝、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道大学札幌キャンパス
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] ミニマル設計を用いたAQFPセルライブラリの構築2014

    • Author(s)
      竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2014年ソサイエティ大会
    • Place of Presentation
      徳島大学常三島キャンパス
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 断熱型磁束量子パラメトロン回路における多分岐Splitterの設計と評価2014

    • Author(s)
      奈良間達也、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道大学札幌キャンパス
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 10kA/cm2 Nb プロセスを用いたジョセフソンランダムアクセスメモリのための要素回路の測定結果2014

    • Author(s)
      幸村勇斗、田中雅光、永沢秀一、吉川信行、藤巻 朗
    • Organizer
      電子情報通信学会2014年ソサイエティ大会、C-8-17
    • Place of Presentation
      徳島大学常三島キャンパス(徳島市)
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 10kA/cm2プロセスを用いたSFQ FFTプロセッサ用負数演算可能なバタフライ演算回路の動作実証2014

    • Author(s)
      坂下洋介、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2014年ソサイエティ大会
    • Place of Presentation
      徳島大学常三島キャンパス
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] パルス間斥力を利用したSFQリングオシレータにおけるジッタの低減2014

    • Author(s)
      下田知毅、佐野京佑、村松祐希、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道大学札幌キャンパス
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 単一磁束量子論理ゲートの論理動作における熱雑音の影響の調査2014

    • Author(s)
      増渕光太、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会、17p-A20-10
    • Place of Presentation
      北海道大学札幌キャンパス(札幌市)
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 単一磁束量子回路におけるSQUID磁気結合型多入力合流回路の高速動作実証2014

    • Author(s)
      佐藤紘史、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Year and Date
      2014-07-23
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 非同期式バッファを有する単一磁束量子時間測定回路による高分子の飛行時間型質量分析2014

    • Author(s)
      佐野 京佑、村松 祐希、下田 知毅、山梨 裕希、吉川 信行、全 伸幸、大久保 雅隆
    • Organizer
      2014年秋季低温工学・超電導学会
    • Place of Presentation
      コラッセふくしま
    • Year and Date
      2014-11-05
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 完全動作実証に向けた64-kb Josephson-CMOSハイブリッドメモリの改良2014

    • Author(s)
      佐々木悠太、彭 析竹、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Year and Date
      2014-07-23
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 直列バイアス技術用ドライバレシーバ回路の磁気結合部のインダクタンスと構造の最適化2014

    • Author(s)
      佐野京佑、高橋章友、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会、17p-A20-1
    • Place of Presentation
      北海道大学札幌キャンパス(札幌市)
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] ミニマル設計を用いたAQFPセルライブラリの構築2014

    • Author(s)
      竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2014年ソサイエティ大会、C-8-18
    • Place of Presentation
      徳島大学常三島キャンパス(徳島市)
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 非同期式バッファを有する単一磁束量子時間測定回路による高分子の飛行時間型質量分析2014

    • Author(s)
      佐野 京佑、村松 祐希、下田 知毅、山梨 裕希、吉川 信行、全 伸幸、大久保 雅隆
    • Organizer
      2014年秋季低温工学・超電導学会
    • Place of Presentation
      コラッセ福島
    • Year and Date
      2014-11-05
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] High-speed Demonstration of Bit-serial SFQ-based Computing for Integer Iteration Algorithms2014

    • Author(s)
      徐 秋韵、山梨 裕希、吉川 信行
    • Organizer
      2014年秋季低温工学・超電導学会
    • Place of Presentation
      コラッセふくしま
    • Year and Date
      2014-11-05
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] SFQ論理ゲートにおける電流比較部の最適化によるビットエラーレートの改善2014

    • Author(s)
      朝倉剣太、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2014-24
    • Place of Presentation
      機械振興会館
    • Year and Date
      2014-07-23
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 直列バイアス技術用ドライバレシーバ回路の磁気結合部のインダクタンスと構造の最適化2014

    • Author(s)
      佐野京佑、高橋章友、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道大学札幌キャンパス
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 完全動作実証に向けた64-kb Josephson-CMOSハイブリッドメモリの改良2014

    • Author(s)
      佐々木悠太、彭 析竹、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会、SCE2014-33
    • Place of Presentation
      機械振興会館
    • Year and Date
      2014-07-23
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] High-speed Demonstration of Bit-serial SFQ-based Computing for Integer Iteration Algorithms2014

    • Author(s)
      徐 秋韵、山梨 裕希、吉川 信行
    • Organizer
      2014年秋季低温工学・超電導学会
    • Place of Presentation
      コラッセ福島
    • Year and Date
      2014-11-05
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] パルス間斥力を利用したSFQリングオシレータにおけるジッタの低減2014

    • Author(s)
      下田知毅、佐野京佑、村松祐希、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会、17p-A20-3
    • Place of Presentation
      北海道大学札幌キャンパス(札幌市)
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 直流磁束バイアスJTLにおけるdcSQUIDのJosephson接合アンシャント化時の評価と測定2014

    • Author(s)
      堤隆太、吉川信行、山梨裕希
    • Organizer
      第75回応用物理学会秋季学術講演会、17p-A20-10
    • Place of Presentation
      北海道大学札幌キャンパス(札幌市)
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] FastHenryを用いた超伝導集積回路のグランド電流の検討2014

    • Author(s)
      鈴木秀雄、吉川信行
    • Organizer
      電子情報通信学会2014年ソサイエティ大会
    • Place of Presentation
      徳島大学常三島キャンパス
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] SFQ浮動小数点加算器の性能向上に向けた新たなコンポーネント回路の設計2014

    • Author(s)
      彭 析竹、徐 秋韻、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2014年ソサイエティ大会
    • Place of Presentation
      徳島大学常三島キャンパス
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] CMOS可逆論理回路における可逆論理動作実証2014

    • Author(s)
      井上孔佑、安井雄紀、吉川信行
    • Organizer
      電子情報通信学会2014年ソサイエティ大会
    • Place of Presentation
      徳島大学常三島キャンパス
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] SFQ浮動小数点加算器の性能向上に向けた新たなコンポーネント回路の設計2014

    • Author(s)
      彭 析竹、徐 秋韻、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2014年ソサイエティ大会、C-8-11
    • Place of Presentation
      徳島大学常三島キャンパス(徳島市)
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 飛行時間型質量分析用SFQ電流検出器におけるグレーゾーンの測定2014

    • Author(s)
      村松祐希、佐野京佑、下田知毅、山梨裕希、吉川信行
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道大学札幌キャンパス
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 直流磁束バイアスJTLにおけるdcSQUIDのJosephson接合アンシャント化時の評価と測定2014

    • Author(s)
      堤隆太、吉川信行、山梨裕希
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道大学札幌キャンパス
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 10kA/cm2 Nb プロセスを用いたジョセフソンランダムアクセスメモリのための要素回路の測定結果2014

    • Author(s)
      幸村勇斗、田中雅光、永沢秀一、吉川信行、藤巻 朗
    • Organizer
      電子情報通信学会2014年ソサイエティ大会
    • Place of Presentation
      徳島大学常三島キャンパス
    • Year and Date
      2014-09-23
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 単一磁束量子時間測定回路と超伝導ストリップイオン検出器を用いた高分子の飛行時間型質量分析2014

    • Author(s)
      佐野京佑、村松祐希、下田知毅、山梨裕希、吉川信行、全 伸幸、大久保雅隆
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会
    • Place of Presentation
      機械振興会館
    • Year and Date
      2014-07-23
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Improvement of Slew Rate High-Sensitive Superconductive Digital Magnetomerter2013

    • Author(s)
      F. China, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Recent Developments on Ultra-Low-Energy Adiabatic Quantum-Flux-Parametron Logic2013

    • Author(s)
      N. Yoshikawa, N. Takeuchi, K. Inoue and Y. Yamanashi
    • Organizer
      26th International Symposium on Superconductivity (ISS 2013)
    • Place of Presentation
      Tokyo, Japan
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Several Applications Using Quantum-Flux-Latches2013

    • Author(s)
      N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Yield Analysis of Large-Scase Adiabatic-Quantum-Flux-Parametron Logic:The Effect of the Distribution of the Critical Current2013

    • Author(s)
      D. Si, N. Takeuchi, K.Inoue, Y. Yamanashi, N. Yoshikawa
    • Organizer
      6th International Symposium on Superconductivity (ISS2013)
    • Place of Presentation
      Tokyo, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] mprovement of Performance of a Superconductive Random Number Generator by Optimization of Parameters2013

    • Author(s)
      S. Hachiya, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design of an SFQ Butterfly Ciecuit for Signed Number Operation Using the Nb 10 kA/cm2 Josephson Process2013

    • Author(s)
      Y. Sakashita, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Recent Developments on Ultra-Low-Energy Adiabatic Quantum-Flux-Parametron Logic2013

    • Author(s)
      N. Yoshikawa, N. Takeuchi, K. Inoue and Y. Yamanashi
    • Organizer
      26th International Symposium on Superconductivity (ISS 2013)
    • Place of Presentation
      Tokyo, Japan,
    • Invited
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Sub-KBT Bit-Energy Operation of Superconducting Logic: What is the Minimum Energy Bound in the Computation?2013

    • Author(s)
      N. Yoshikawa
    • Organizer
      East Asia Symposium on Superconductor Electronics (EASSE2013)
    • Place of Presentation
      Taiwan Normal University, Taipei
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Design and High-Speed Tests of a Single-Flux-Quantum Time-to-Digital Converter for Time-of-Flight Mass Spectrometry2013

    • Author(s)
      K. Sano, A. Takahashi, Y. Yamanashi, N. Yoshikawa, N. Zen, K. Suzuki, M. Ohkubo
    • Organizer
      Superconductive Electronics Conference (ISEC), 2013
    • Place of Presentation
      Boson, USA
    • Data Source
      KAKENHI-PROJECT-22246056
  • [Presentation] Design and Implementation of a High Sensitive DC/SFQ Converter2013

    • Author(s)
      K. Sato, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Study on the Reduction of the Jitter of an Signe-Flux-Quantum Time-To-Digital Converter for Time-of-Flight Mass Spectrometry2013

    • Author(s)
      K. Sano, Y. Yamanashi, N. Yoshikawa
    • Organizer
      26th International Symposium on Superconductivity (ISS 2013)
    • Place of Presentation
      Tokyo, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Circuit Design of Zero-Static Power SFQ Circuit Using Magnetic Flux Biasing2013

    • Author(s)
      Y. Yamanashi, R. Tsutsumi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] mprementation of an Integer-based Hardware-Algorithm in Single-Flux-Quantum Electronics2013

    • Author(s)
      Q. Xu, Y. Yamanashi, N. Yoshikawa, T. Ortlepp
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Yield Analysis of Large-Scase Adiabatic-Quantum-Flux-Parametron Logic:The Effect of the Distribution of the Critical Current2013

    • Author(s)
      D. Si, N. Takeuchi, K.Inoue, Y. Yamanashi, N. Yoshikawa
    • Organizer
      26th International Symposium on Superconductivity (ISS 2013)
    • Place of Presentation
      Tokyo, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Improvement of Performance of a Superconductive Random Number Generator by Optimization of Parameters2013

    • Author(s)
      S. Hachiya, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Development of Low-Power Shift-Register Memories Using Josephson-Junction-Biasing SFQ Circuits2013

    • Author(s)
      R. Numaguchi, A. Takahashi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Development of Single-Flux-Quantum Multi-Threshold Current Discriminators for m/z-Sensitive Time-of-Flight Mass Spectrometry2013

    • Author(s)
      Y. Muramatsu, K. Sano, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Evaluation of Serially Biased SFQ Circuits Using Floating Ground Plane Structures2013

    • Author(s)
      A. Takahashi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Sub-kBT Bit-Energy Operation of Superconducting Logic Devices using Adiabatic Quantum Flux ParametronExtended2013

    • Author(s)
      N. Yoshikawa, N. Takeuchi, K. Inoue and Y. Yamanashi
    • Organizer
      Abstracts of the 2013 International Conference on Solid State Devices and Materials (SSDM 2013)
    • Place of Presentation
      Fukuoka, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 磁気シールド構造を用いた断熱型磁束量子パラメトロンによる8-bit Carry Look-ahead Adderの設計と測定結果2013

    • Author(s)
      井上健太、竹内尚輝、山梨裕希、吉川 信行
    • Organizer
      2013 年度秋季低温工学・超電導学会
    • Place of Presentation
      ウインクあいち
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design and Test of Basic Cells for Adiabatic Quantum-Flux-Parametron Logic with Magnetic-Shielding Structures2013

    • Author(s)
      K. Inoue, N. Takeuchi,Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Proposal and Implementation of High-Speed Test Circuits for Adiabatic Quantum-Flux-Parametron Gates2013

    • Author(s)
      N. Takeuchi, T. Ortlepp, K. Inoue, Y. Yamanashi, N. Yoshikawa
    • Organizer
      26th International Symposium on Superconductivity (ISS2013)
    • Place of Presentation
      Tokyo,Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] mprovement of Decoders and Data Divers in Terms of Power Consumption for 64-kb SFQ/CMOS Hybrid Memories2013

    • Author(s)
      Y. Sasaki, X. Pen, T. Nishimura, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Circuit Design of Zero-Static Power SFQ Circuit Using Magnetic Flux Biasing2013

    • Author(s)
      Y. Yamanashi, R. Tsutsumi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Imprementation of an Integer-based Hardware-Algorithm in Single-Flux-Quantum Electronics2013

    • Author(s)
      Q. Xu, Y. Yamanashi, N. Yoshikawa, T. Ortlepp
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Design of an SFQ Butterfly Ciecuit for Signed Number Operation Using the Nb 10 kA/cm2 Josephson Process2013

    • Author(s)
      Y. Sakashita, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Sub-KBT Bit-Energy Operation of Superconducting Logic: What is the Minimum Energy Bound in the Computation?2013

    • Author(s)
      N. Yoshikawa
    • Organizer
      East Asia Symposium on Superconductor Electronics (EASSE2013)
    • Place of Presentation
      Taiwan Normal University, Taipei
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Improvement of Slew Rate High-Sensitive Superconductive Digital Magnetomerter2013

    • Author(s)
      F. China, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Development of Low-Power Shift-Register Memories Using Josephson-Junction-Biasing SFQ Circuits2013

    • Author(s)
      R. Numaguchi, A. Takahashi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] New Design Method of Single Flux Quantum Logic Circuits Using Dynamically Reconfigurable Logic Gates2013

    • Author(s)
      S. Nishimoto, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design and High-Speed Tests of a Single-Flux-Quantum Time-to-Digital Converter for Time-of-Flight Mass Spectrometry2013

    • Author(s)
      K. Sano, A. Takahashi, Y. Yamanashi, N. Yoshikawa, N. Zen, K. Suzuki, M. Ohkubo
    • Organizer
      14^<th> International Superconductive Electronics Conference (ISEC)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22246056
  • [Presentation] Design and Implementation of a High Sensitive DC/SFQ Converter2013

    • Author(s)
      K. Sato, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Several Applications Using Quantum-Flux-Latches2013

    • Author(s)
      N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Sub-kBT Bit-Energy Operation of Superconducting Logic Devices using Adiabatic Quantum Flux ParametronExtended2013

    • Author(s)
      N. Yoshikawa, N. Takeuchi, K. Inoue and Y. Yamanashi
    • Organizer
      Abstracts of the 2013 International Conference on Solid State Devices and Materials (SSDM 2013)
    • Place of Presentation
      Fukuoka, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Improvement of Interface Circuit for Josephson/CMOS Hybrid Memories toward Ground-Current Reduction and Low Power Dissipation2013

    • Author(s)
      X. Peng, Y.Sasaki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 磁気シールド構造を用いた断熱型磁束量子パラメトロンによる8-bit Carry Look-ahead Adderの設計と測定結果2013

    • Author(s)
      井上健太、竹内尚輝、山梨裕希、吉川 信行
    • Organizer
      2013 年度秋季低温工学・超電導学会
    • Place of Presentation
      ウインクあいち(名古屋市)
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Development of Single-Flux-Quantum Multi-Threshold Current Discriminators for m/z-Sensitive Time-of-Flight Mass Spectrometry2013

    • Author(s)
      Y. Muramatsu, K. Sano, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design and Test of Basic Cells for Adiabatic Quantum-Flux-Parametron Logic with Magnetic-Shielding Structures2013

    • Author(s)
      K. Inoue, N. Takeuchi,Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Proposal and Implementation of High-Speed Test Circuits for Adiabatic Quantum-Flux-Parametron Gates2013

    • Author(s)
      N. Takeuchi, T. Ortlepp, K. Inoue, Y. Yamanashi, N. Yoshikawa
    • Organizer
      26th International Symposium on Superconductivity (ISS 2013)
    • Place of Presentation
      Tokyo, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] New Design Method of Single Flux Quantum Logic Circuits Using Dynamically Reconfigurable Logic Gates2013

    • Author(s)
      S. Nishimoto, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Study on the Reduction of the Jitter of an Signe-Flux-Quantum Time-To-Digital Converter for Time-of-Flight Mass Spectrometry2013

    • Author(s)
      K. Sano, Y. Yamanashi, N. Yoshikawa
    • Organizer
      26th International Symposium on Superconductivity (ISS 2013)
    • Place of Presentation
      Tokyo, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Evaluation of Serially Biased SFQ Circuits Using Floating Ground Plane Structures2013

    • Author(s)
      A. Takahashi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Improvement of Interface Circuit for Josephson/CMOS Hybrid Memories toward Ground-Current Reduction and Low Power Dissipation2013

    • Author(s)
      X. Peng, Y.Sasaki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Improvement of Decoders and Data Divers in Terms of Power Consumption for 64-kb SFQ/CMOS Hybrid Memories2013

    • Author(s)
      Y. Sasaki, X. Pen, T. Nishimura, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV2013)
    • Place of Presentation
      Tsukuba, Japan
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Design and demonstration of 64-kb Josephson-CMOS hybrid memories2012

    • Author(s)
      K. Kuwabara, H. Jin, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Measurement of 40-zJ energy dissipation of adiabatic quantum-flux-parametron logic using a superconducting resonator2012

    • Author(s)
      N. Takeuchi, K. Ehara, K. Inoue, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Demonstration of single-flux-quantum readout circuits for time-of-flight mass spectrometry of biomolecules using superconducting nano-stripline detectors2012

    • Author(s)
      N. Yoshikawa, Y. Takahashi, K. Sano, Y. Yamanashi, M. Okubo, K. Suzuki, N. Zen, M. Koike, S. Miki, Z. Wang
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Development of pulse transfer circuits for serially biased SFQ circuits using the Nb 9-layer 1-μm process2012

    • Author(s)
      K. Ehara, A. Takahashi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Design and component development of DC-powered single-flux-quantum random-access memories using vortex transition memory cells2012

    • Author(s)
      K. Hinago, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Ultra-Low-Power, Robust Superconducting Logic using Adiabatic Quantum Flux Parametrons2012

    • Author(s)
      N. Yoshikawa
    • Organizer
      11th International Symposium on High Temperature Superconductors in High Frequency Fields (HTSHFF 2012)
    • Place of Presentation
      Matsushima, Miyagi, Japan.
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Demonstration of single-flux-quantum readout circuits for time-of-flight mass spectrometry of biomolecules using superconducting nano-stripline detectors2012

    • Author(s)
      N. Yoshikawa
    • Organizer
      Applied Superconductivity Conference
    • Place of Presentation
      Portland, USA
    • Invited
    • Data Source
      KAKENHI-PROJECT-22246056
  • [Presentation] Experimental Demonstration of Ultra-Low-Power Adiabatic Quantum-Flux-Parametron Logic2012

    • Author(s)
      N. Yoshikawa, K. Ehara, K. Inoue, N. Takeuchi and Y. Yamanashi
    • Organizer
      International Conference on Superconductivity and Magnetism (ICSM2012)
    • Place of Presentation
      Istanbul, Turkey
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Margins and energy dissipation of adiabatic quantum-flux-parametron logic at finite temperature2012

    • Author(s)
      N. Takeuchi, K. Ehara, K. Inoue, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Design and demonstration of energy-recoverable on-chip AC power sources for adiabatic quantum-flux-parametron circuits2012

    • Author(s)
      T. Mukaiyama, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 8-bit asynchronous sparse-tree superconductor RSFQ arithmetic logic unit with a rich set of integer operations2012

    • Author(s)
      M. Dorojevets, C.L. Ayala, N. Yoshikawa, A. Fujimaki
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Optimization of the structure and circuit parameters of Josephson latching drivers for Josephson/CMOS hybrid memories2012

    • Author(s)
      X. Peng, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] SFQ Readout for Time of Flight Mass Spectrometry2012

    • Author(s)
      N. Yoshikawa
    • Organizer
      The First International Workshop in Superconducting Sensor and Detectors (IWSSD2012)
    • Place of Presentation
      Daejeon, Korea
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Nanostructure cryodetector for mass spectrometry2012

    • Author(s)
      M. Ohkubo, K. Suzuki, N. Zen, S. Shiki, M. Ukibe, M. Koike, R. Cristiano, M. Ejrnaes, A. Casaburi, N. Yoshikawa, Y. Takahashi
    • Organizer
      60^<th> ASMS Conference on Mass Spectrometry and Allied Topics
    • Place of Presentation
      Vancouver, Canada
    • Data Source
      KAKENHI-PROJECT-22246056
  • [Presentation] Design and demonstration of high-speed RSFQ processors with large-scale reconfigurable data paths2012

    • Author(s)
      A. Fujimaki, M. Okada, M. Tanaka, H. Akaike, S. Nagasawa, N. Yoshikawa, K. Murakami, N. Takagi
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 8x8-bit parallel carry-save superconductor RSFQ multiplier2012

    • Author(s)
      M. Dorojevets, A.K. Kasperek, N. Yoshikawa, A. Fujimaki
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 16-bit wave-pipelined sparse-tree RSFQ adder: a new generation of superconductor circuits2012

    • Author(s)
      M. Dorojevets, C.L. Ayala, N. Yoshikawa, A. Fujimaki
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Simulation and experimental demonstration of logic circuits using ultra-low-power adiabatic quantum-flux-parametron2012

    • Author(s)
      K. Inoue, K. Ehara, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Improvement of Performance of a Superconductive Random Number Generator by On-Chip Data Processing2012

    • Author(s)
      S. Hachiya, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Pseudo Sigmoid Function Generator for a Superconductive Neural Network2012

    • Author(s)
      Y. Yamanashi, K. Umeda, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Improvement of Operation Margin of SFQ Circuits by Controlling Dependence of Signal Propagation Time on Bias Voltage2012

    • Author(s)
      M. Otsubo, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Evaluating Performance of Scientific Applications Realized on a Single-Flux Quantum-Based Accelerator2012

    • Author(s)
      H. Honda, F. Mehdipour, N. Yoshikawa, H. Kataoka, K. Inoue, A. Fujimaki, N. Takagi, K.J. Murakami
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Multiplexing Techniques of Single Flux Quantum Circuit Based Readout Circuit for a Multi-Channel Sensing System2012

    • Author(s)
      K. Aoki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Present status and perspective of Josephson-CMOS hybrid memories2012

    • Author(s)
      N. Yoshikawa
    • Organizer
      Post ASC’12 Workshop on 4 K Random Access Memory
    • Place of Presentation
      Berkeley, California, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Development of high-sensitive CMOS differential amplifiers for SFQ digital readout circuits2012

    • Author(s)
      H. Jin, K. Kuwabara, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Asynchronous Digital SQUID Magnetometer with an On-Chip Magnetic Feedback for Improvement of Magnetic Resolution2012

    • Author(s)
      Y. Tsuga, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2012 Applied Superconductivity Conference (ASC 2012)
    • Place of Presentation
      Portland, Oregon, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] DC-powered single-flux-quantum random-access memories using vortex transition memory cells2012

    • Author(s)
      N. Yoshikawa
    • Organizer
      Post ASC’12 Workshop on 4 K Random Access Memoryvv
    • Place of Presentation
      Berkeley, California, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Development of Ultra-Low-Power Processors Using Adiabatic Quantum-Flux-Parametron Logic2011

    • Author(s)
      N.Yoshikawa, K.Ehara, K.Inoue, N.Takeuchi, Y.Yamanashi
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2011)
    • Place of Presentation
      Kyoto Research Park, Kyoto
    • Year and Date
      2011-11-01
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Investigation of bias-Current-supply methods for serially biased SFQ circuits2011

    • Author(s)
      K.Ehara, Y.Yamanashi, N.Yoshikawa
    • Organizer
      International Superconductivity Electronics Conference (ISEC2011)
    • Place of Presentation
      Hague, Netherlands
    • Year and Date
      2011-09-20
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Access Time Measurement of Josephson-CMOS Hybrid Memory Systems with an SFQ Bit-Serial Data-Input Port2011

    • Author(s)
      H.Jin, K.Yaguchi, Y.Yamanashi, N.Yoshikawa, T.V.Duzer
    • Organizer
      International Superconductivity Electronics Conference (ISEC2011)
    • Place of Presentation
      Hague, Netherlands
    • Year and Date
      2011-09-22
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Ultra-Low-Power Superconducting Logic Devices using Adiabatic Ouantum Flux Parametron2011

    • Author(s)
      N.Yoshikawa, D.Ozawa, Y.Yamanashi
    • Organizer
      2011 International Conference on Solid State Devices and Materials (SSDM 2011)
    • Place of Presentation
      Nagoya
    • Year and Date
      2011-09-30
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Analysis of computational energy efficiency in single flux quantum electronics by implementing an integer-based hardware-algorithm2011

    • Author(s)
      Y.Shimamura, N.Yoshikawa, T.Ortlepp
    • Organizer
      International Superconductivity Electronics Conference (ISEC2011)
    • Place of Presentation
      Hague, Netherlands
    • Year and Date
      2011-09-19
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Investigation of Robust CMOS Amplifiers for Josephson-CMOS Hybrid Memories2011

    • Author(s)
      H.Jin, K.Yaguchi,Y.Yamanashi, N.Yoshikawa
    • Organizer
      International Superconductivity Electronics Conference (ISEC2011)
    • Place of Presentation
      Hague, Netherlands
    • Year and Date
      2011-09-20
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 45 GHz operation of Single-Flux-Quantum Reconfigurable Data-Path Processor with 11000 Josephson Junctions2011

    • Author(s)
      M.Okada, I.Kataeva, M.Tanaka, H.Akaike, A.Fujimaki,N.Yoshikawa, S.Nagasawa, N.Takagi
    • Organizer
      International Superconductivity Electronics Conference (ISEC2011)
    • Place of Presentation
      Hague, Netherlands(招待講演)
    • Year and Date
      2011-09-19
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 100-GHz high-speed demonstration of circuit components of FFT processors using 10 kA/cm2 Nb process2011

    • Author(s)
      N.Yoshikawa, F.Miyaoka, K.Hinago, Y.Shimamura, Y.Yamanashi
    • Organizer
      International Superconductivity Electronics Conference (ISEC2011)
    • Place of Presentation
      Hague, Netherlands
    • Year and Date
      2011-09-20
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Margin and Energy Consumption of Adiabatic QFP Logic2011

    • Author(s)
      N.Yoshikawa
    • Organizer
      7th FLUXONICS RSFQ design workshop 2011
    • Place of Presentation
      Ilmenau, Germany(招待講演)
    • Year and Date
      2011-09-26
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Circuit demonstration of ultra-low-power adiabatic quantum flux Parametrons2011

    • Author(s)
      N.Yoshikawa, D.Ozawa, Y.Yamanashi
    • Organizer
      International Superconductivity Electronics Conference (ISEC2011)
    • Place of Presentation
      Hague, Netherlands
    • Year and Date
      2011-09-20
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Energy-efficient logic circuits using adiabatic quantum flux parametron2011

    • Author(s)
      N.Yoshikawa
    • Organizer
      The 6th East Asia Symposium on Superconductor Electronics (EASSE 2011)
    • Place of Presentation
      Yamagata University, Yonezawa
    • Year and Date
      2011-10-28
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Design and implementation of SFQ Floating-Point Multiplier and Adder Using 10 kA/cm2 Nb Process2011

    • Author(s)
      Y.Shimamura, Y.Yamanashi, N.Yoshikawa, A.Fujimaki, N.Takagi, K.Takagi
    • Organizer
      International Superconductivity Electronics Conference (ISEC2011)
    • Place of Presentation
      Hague, Netherlands
    • Year and Date
      2011-09-19
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] INVESTIGATION OF ROBUSTNESS OF LOGIC GATES USING ULTRA-LOW-POWER ADIABATIC QUANTU M FLUX PARA METRON2011

    • Author(s)
      N.Yoshikawa, K.Ehara, K.Inoue, Y.Yamanashi
    • Organizer
      Abstracts on 24nd International Symposium on Superconductivity (ISS2011)
    • Place of Presentation
      Tokyo
    • Year and Date
      2011-10-26
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Development of SFQ Logic Gates Connectable to Passive Transmission Lines and Their Application to Digital Signal Processors2010

    • Author(s)
      N. Yoshikawa, H. Suzuki, K. Taketomi, Y. Yamanashi
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2010)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2010-01-13
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Adiabatic quantum flux parametron as an ultra-low-power superconducting logic device2010

    • Author(s)
      N. Yoshikawa, D. Ozawa
    • Organizer
      Abstract of 2010 Applied Superconductivity Conference (ASC2010)
    • Place of Presentation
      Washington DC
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Development of SFQ Logic Gates Connectable to Passive Transmission Lines and Their Application to Digital Signal Processors2010

    • Author(s)
      N. Yoshikawa, H. Suzuki, K. Taketomi, Y. Yamanashi
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2010)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2010-06-13
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] Design and implementation of component circuits of an SFQ half-precision floating-point adder using 10 kA/cm2 Nb process2010

    • Author(s)
      T. Kainuma, Y. Yamanashi, N. Yoshikawa, A. Fujimaki, N. Takagi, K. Takagi
    • Organizer
      Abstract of 2010 Applied Superconductivity Conference (ASC2010)
    • Place of Presentation
      Washington DC
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Integration of optical waveguides with SFQ circuits2010

    • Author(s)
      Y. Arita, N. Yoshikawa, T. Baba, Y. Yamanashi
    • Organizer
      Abstract of 2010 Applied Superconductivity Conference (ASC2010)
    • Place of Presentation
      Washington DC
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] 50 GHz operation of SFQ floating-point multiplier using 10 kA/cm2 Nb process2010

    • Author(s)
      Y. Shimamura, K. Toshiki, F. Miyaoka, Y. Yamanashi, N. Yoshikawa, A. Fujimaki, N. Takagi, K. Takagi
    • Organizer
      Abstract of 2010 Applied Superconductivity Conference (ASC2010)
    • Place of Presentation
      Washington DC
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Design and Implementation of Multi-flux drivers using High Beta_c Junctions2010

    • Author(s)
      D. OZAWA, Y. Natsume, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Abstract of 2010 Applied Superconductivity Conference (ASC2010)
    • Place of Presentation
      Washington DC
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Adiabatic quantum flux parametron as an ultra-low-power superconducting logic device2010

    • Author(s)
      N.Yoshikawa
    • Organizer
      Applied Superconductivity Conference (ASC)
    • Place of Presentation
      Washington DC, USA
    • Year and Date
      2010-08-04
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] High-speed test of a radix-2 butterfly processing element for the Fast Fourier Transform using SFQ circuits2010

    • Author(s)
      F. Miyaoka, T. Kainuma, Y. Shimamura, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Abstract of 2010 Applied Superconductivity Conference (ASC2010)
    • Place of Presentation
      Washington DC
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Development of SFQ Logic Gates Connectable to Passive Transmission Linec anrd Their Application to Digital Signal Processors2010

    • Author(s)
      N.Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2010)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2010-01-13
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Development of SFQ Logic Gates Connectable to Passive Transmssion Linec and Their Application to Digital Signal Processors2010

    • Author(s)
      N.Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2010)
    • Place of Presentation
      Yokohama National University, Yokohama
    • Year and Date
      2010-01-13
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] (Invited) High-Speed Floating-Point Processors based on Single-Flux-Quantum Circuit Technology2009

    • Author(s)
      N. Yoshikawa, T. Kainuma, H. Park, Y. Yamanashi, A. Fujimaki, N. Takagi, K. Takagi
    • Organizer
      Asian Conference of Applied Superconductivity and Cryogenics (ACASC 2009)
    • Place of Presentation
      Matsue, Japan
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] High-Speed Floating-Point Processors based on Single-Flux-Quantum Circuit Technology2009

    • Author(s)
      N.Yoshikawa
    • Organizer
      Asian Conference of Applied Superconductivity and Cryogenics (ACASC 2009)
    • Place of Presentation
      Matsue, Japan
    • Year and Date
      2009-12-07
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] (Invited) Component Design and Test of 50-GHz Half-Precision Floating-Point Adders and Multipliers2009

    • Author(s)
      N. Yoshikawa, T. Kainuma, H. Park, Y. Yamanashi, A. Fujimaki, N. Takagi, K. Takagi
    • Organizer
      EUROFLUX 2009 International Conference
    • Place of Presentation
      Avignon, France
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] Component Design and Test of 50-GHz Half-Precision Floating-Point Adders and Multipliers2009

    • Author(s)
      N.Yoshikawa
    • Organizer
      EUROFLUX 2009 International Conference
    • Place of Presentation
      Avignon, France
    • Year and Date
      2009-09-22
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] (Invited) Component Design and Test of 50-GHz Half-Precision Floating-Point Adders and Multipliers2009

    • Author(s)
      N. Yoshikawa, T. Kainuma, H. Park, Y. Yamanashi, A. Fujimaki, N. Takagi, K. Takagi
    • Organizer
      EUROFLUX 2009 International Conference, Avignon
    • Place of Presentation
      France
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Design of SFQ Multi-Stop Time-to-Digital Converters for Time-of-Flight Mass Spectrometry2009

    • Author(s)
      N.Yoshikawa
    • Organizer
      International Superconductive Electronics Conference 2009 (ISEC 2009)
    • Place of Presentation
      Kyushu University School of Medicine, Fukuoka
    • Year and Date
      2009-06-19
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] Recent Research Activities in the MEXT SFQ Project2009

    • Author(s)
      N.Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2009)
    • Place of Presentation
      Kyushu University School of Medicine, Fukuoka
    • Year and Date
      2009-06-16
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] High-Speed Floating-Point Processors based on Single-Flux-Quantum Circuit Technoloav2009

    • Author(s)
      N.Yoshikawa
    • Organizer
      Asian Conference of Applied Superconductivity and Cryogenics (ACASC 2009)
    • Place of Presentation
      Matsue, Japan
    • Year and Date
      2009-12-07
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] (Invited) Recent Research Activities in the MEXT SFQ Project2009

    • Author(s)
      N. Yoshikawa
    • Organizer
      Technical Program of Superconducting SFQ VLSI Workshop (SSV 2009)
    • Place of Presentation
      Kyushu University School of Medicine
    • Year and Date
      2009-06-16
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Design of SFQ Multi-Stop Time-to-Digital Converters for Time-of-Flight Mass Spectrometry2009

    • Author(s)
      N.Yoshikawa
    • Organizer
      International Superconductive Electronics Conference 2009 (ISEC 2009)
    • Place of Presentation
      Kyushu University School of Medicine, Fukuoka
    • Year and Date
      2009-06-19
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] (Invited) Recent Research Activities in the MEXT SFQ Project2009

    • Author(s)
      N. Yoshikawa
    • Organizer
      Technical Program of Superconducting SFQ VLSI Workshop (SSV 2009)
    • Place of Presentation
      Kyushu University School of Medicine
    • Year and Date
      2009-06-16
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] Component Design and Test of 50-GHz Half-Precision Floating-Point Adders and Multipliers2009

    • Author(s)
      N.Yoshikawa
    • Organizer
      EUROFLUX 2009 International Conference
    • Place of Presentation
      Avignon, France
    • Year and Date
      2009-09-22
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] (Invited) High-Speed Floating-Point Processors based on Single-Flux-Quantum Circuit Technology2009

    • Author(s)
      N. Yoshikawa, T. Kainuma, H. Park, Y. Yamanashi, A. Fujimaki, N. Takagi, K. Takagi
    • Organizer
      Asian Conference of Applied Superconductivity and Cryogenics (ACASC 2009)
    • Place of Presentation
      Matsue, Japan
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Recent Research Activities in the MEXT SFQ Project2009

    • Author(s)
      N.Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop (SSV 2009)
    • Place of Presentation
      Kyushu University School of Medicine, Fukuoka
    • Year and Date
      2009-06-16
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] Recent Developments in Floating-Point Processors using Single-Flux-Quantum Circuits2009

    • Author(s)
      N.Yoshikawa
    • Organizer
      9th European Conference on Applied Superconductivity (EUCAS 2009)
    • Place of Presentation
      Dresden, Germany
    • Year and Date
      2009-09-15
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Recent Developments in Floating-Point Processors using Single-Flux-Quantum Circuits2009

    • Author(s)
      N.Yoshikawa
    • Organizer
      9th European Conference on Applied Superconductivity (EUCAS 2009)
    • Place of Presentation
      Dresden, Germany
    • Year and Date
      2009-09-15
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] Recent development of Large-Scale reconfigurable data-paths using RSFQ Circuits2008

    • Author(s)
      N. Yoshikawa
    • Organizer
      21th International Symposium on Superconductivity (ISS2008)
    • Place of Presentation
      Tsukuba
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Recent development of Large-Scale reconfigurable data-paths using RSFQ Circuits2008

    • Author(s)
      N. Yoshikawa
    • Organizer
      21th International Symposium on Superconductivity (ISS2008)
    • Place of Presentation
      Tsukuba
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] (Invited) RSFQ projects in Japan2008

    • Author(s)
      N. Yoshikawa
    • Organizer
      5th FLUXONICS RSFQ design workshop
    • Place of Presentation
      Ilmenau
    • Year and Date
      2008-06-29
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] (Invited) RSFQ projects in Japan2008

    • Author(s)
      N. Yoshikawa
    • Organizer
      5th FLUXONICS RSFQ design workshop
    • Place of Presentation
      Ilmenau
    • Year and Date
      2008-06-29
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] Demonstration of picosecond-delay measurements of high-speed signals by single-flux-quantum double-oscillator time-to-digital converters2008

    • Author(s)
      N. Yoshikawa
    • Organizer
      2008 Applied Superconductivity Conference (ASC 2008)
    • Place of Presentation
      Chicago
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] Development of SFQ Logic Gated with Passive Transmission lines and Thei Application to Digital Signal Processors2008

    • Author(s)
      N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop 2008
    • Place of Presentation
      Yokohama National University, Japan
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] Demonstration of picosecond-delay measurements of highspeed signals by single-flux-quantum double-oscillator time-to-digital converters2008

    • Author(s)
      N. Yoshikawa, K. Nakamiya
    • Organizer
      2008 Applied Superconductivity Conference (ASC 2008)
    • Place of Presentation
      Chicago, 1EB05
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Invited) Design and Implementation of SFQ Half-Precision Floating-Point Adders2008

    • Author(s)
      H. Park, Y. Yamanashi, K. Taketomi, N. Yoshikawa, M. Tanaka, K. Obata, Y. Itou, A. Fujimaki, N. Takagi, K. Takagi, S. Nagasawa
    • Organizer
      2008 Applied Superconductivity Conference (ASC 2008)
    • Place of Presentation
      Chicago
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] 位相量子論理回路によるSFQ シフトレジスタメモリの高密度化2008

    • Author(s)
      夏目侑紀, 五十嵐正憲, 中禮浩二, 吉川信行
    • Organizer
      2008 年春季第55 回応用物理学関係連合講演会
    • Place of Presentation
      日本大学理工学部(28p-NA-9)
    • Year and Date
      2008-03-28
    • Data Source
      KAKENHI-PROJECT-18360166
  • [Presentation] (Invited) Design and Implementation of SFQ Half-Precision Floating-Point Adders2008

    • Author(s)
      H. Park, Y. Yamanashi, K. Taketomi, N. Yoshikawa, M. Tanaka, K. Obata, Y. Itou, A. Fujimaki, N. Takagi, K. Takagi, S. Nagasawa
    • Organizer
      2008 Applied Superconductivity Conference (ASC 2008)
    • Place of Presentation
      Chicago
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] High-density integration of single-flux-quantum circuits using Josephson inductance2008

    • Author(s)
      Y. Natume, M. Igarashi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Abstracts on 21th International Symposium on Superconductivity (ISS2008)
    • Place of Presentation
      Tsukuba(FDP-21)
    • Data Source
      KAKENHI-PROJECT-18360166
  • [Presentation] Demonstration of picosecond-delay measurements of high-speed signals by single-flux-quantum double-oscillator time-to-digital converters2008

    • Author(s)
      N. Yoshikawa
    • Organizer
      2008 Applied Superconductivity Conference (ASC 2008)
    • Place of Presentation
      Chicago
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Development of SFQ Logic Gated with Passive Transmission lines and Their Application to Digital Signal Processors2008

    • Author(s)
      N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop 2008
    • Place of Presentation
      Yokohama National University, Japan
    • Year and Date
      2008-03-14
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] (Invited) Recent development of Large-Scale reconfigurable data-paths using RSFQ Circuits2008

    • Author(s)
      N. Yoshikawa, H. Park, H. Hara, K. Taketomi, Y. Yamanashi, I. Kataeva, R. Kasagi, S. Iwasaki, H. Akaike, A. Fujimaki, M. Tanaka, K. Obata, Y. Ito, K. Takagi, N. Takagi, H. Honda, K. Inoue, K. Murakami, S. Nagasawa, M. Hidaka
    • Organizer
      Abstracts on 21st International Symposium on Superconductivity (ISS2008)
    • Place of Presentation
      Tsukuba
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] High Density Integration of SFQ Shift Register Memories using Phase Quantum Logic Circuits2008

    • Author(s)
      Y. Natsume, M. Igarashi, K. Churei, N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop 2008
    • Place of Presentation
      Yokohama National University, Japan
    • Year and Date
      2008-03-17
    • Data Source
      KAKENHI-PROJECT-18360166
  • [Presentation] Development of SFQ Logic Gated with Passive Transmission lines and Their Application to Digital Signal Processors2008

    • Author(s)
      N. Yoshikawa
    • Organizer
      Superconducting SFQ VLSI Workshop 2008
    • Place of Presentation
      Yokohama National University, Japan
    • Year and Date
      2008-03-14
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] (Invited) Recent development of Large-Scale reconfigurable data-paths using RSFQ Circuits2008

    • Author(s)
      N. Yoshikawa, H. Park, H. Hara, K. Taketomi, Y. Yamanashi, I. Kataeva, R. Kasagi, S. Iwasaki, H. Akaike, A. Fujimaki, M. Tanaka, K. Obata, Y. Ito, K. Takagi, N. Takagi, H. Honda, K. Inoue, K. Murakami, S. Nagasawa, M. Hidaka
    • Organizer
      Abstracts on 21st International Symposium on Superconductivity (ISS2008)
    • Place of Presentation
      Tsukuba
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] インダクタンス付加によるJosephson Latching DriverのBER低減効果の検討III2007

    • Author(s)
      河合宣彰、吉川信行
    • Organizer
      2007年秋季第68回応用物理学会学術講演会
    • Place of Presentation
      北海道工業大学
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] (Invited) Review of the CORE1 Microprocessor Project: Recent Development and Next Plans2007

    • Author(s)
      N. Yoshikawa, M. Tanaka, Y. Yamanashi, N. Irie, H. Park, S. Iwasaki, K. Taketomi, A. Fujimaki, H. Terai, S. Yorozu
    • Organizer
      Extended Abstract of 11th International Superconductivity Electronics Conference
    • Place of Presentation
      Washington DC, USA
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] (Invited) Superconductor Electronics based on Single-Flux-Quantum Circuit Technology, " East Asia Symposium2007

    • Author(s)
      N. Yoshikawa
    • Organizer
      East Asia Symposium on Superconductor Electronics (EASSE2007)
    • Place of Presentation
      Delhi, India
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] (Invited) Superconductor Electronics based on Single-Flux-Quantum Circuit Technology2007

    • Author(s)
      N. Yoshikawa
    • Organizer
      East Asia Symposium on Superconductor Electronics (EASSE2007)
    • Place of Presentation
      Delhi, India
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] (Invited) Review of the CORE1 Microprocessor Project: Recent Development and Next Plans2007

    • Author(s)
      N. Yoshikawa, M. Tanaka, Y. Yamanashi, N. Irie, H. Park, S. Iwasaki, K. Taketomi, A. Fujimaki, H. Terai, S. Yorozu
    • Organizer
      Extended Abstract of 11th International Superconductivity Electronics Conference
    • Place of Presentation
      Washington DC, USA
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] Review of the CORE1 Microprocessor Project: Recent Development and Next Plans2007

    • Author(s)
      N. Yoshikawa
    • Organizer
      11th International Superconductivity Conference
    • Place of Presentation
      Washington DC, USA
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] インダクタンス付加によるJosephson Latching DriverのBER低減効果の検討III2007

    • Author(s)
      河合宣彰、吉川信行
    • Organizer
      2007年秋季第68回応用物理学会学術講演会
    • Place of Presentation
      北海道工業大学
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Superconductor Electronics based on Single-Flux-Quantum Circuit Technology2007

    • Author(s)
      N. Yoshikawa
    • Organizer
      East Asia Symposium on Superconductor Electronics
    • Place of Presentation
      Delhi, India
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Review of the CORE1 Microprocessor Project: Recent Development and Neat Plans2007

    • Author(s)
      N. Yoshikawa
    • Organizer
      11th International Superconductivity Conference
    • Place of Presentation
      Washington DC, USA
    • Data Source
      KAKENHI-PROJECT-18080006
  • [Presentation] Superconductor Electronics based on Single-Flux-Quantum Circuit Technology2007

    • Author(s)
      N. Yoshikawa
    • Organizer
      East Asia Symposium on Superconductor Electronics
    • Place of Presentation
      Delhi, India
    • Data Source
      KAKENHI-PROJECT-18080005
  • [Presentation] Superconductive Electronics Research at Yokohama National University

    • Author(s)
      N. Yoshikawa
    • Organizer
      1st Stellenbosch Workshop on Superconductive Circuit Modelling and Layout Extraction
    • Place of Presentation
      Stellenbosch, South Africa
    • Year and Date
      2014-09-01 – 2014-09-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design Method of Single Flux Quantum Logic Circuits Using Dynamically Reconfigurable Logic Gates

    • Author(s)
      S. Nishimoto, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration of a 10,000-gate AQFP Circuit with 5 mA Bias Current

    • Author(s)
      T. Narama, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Performance Estimation and Design of High-Sensitive Superconductive Digital Magnetometer

    • Author(s)
      F. China, Y. Yamanashi, N. Yoshikawa
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Measurement of an SFQ Half-Precision Floating-Point Adder Using the 10 kA/cm2 Nb Process

    • Author(s)
      T. Kato, N. Yoshikawa, A. Fujimaki, N. Takagi, K. Takagi, S. Nagasawa
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2012)
    • Place of Presentation
      Nagoya University, Nagoya
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Magnetic field tolerant single-flux-quantum circuit for superconducting sensing system

    • Author(s)
      Y. Yamanashi, Y. Tsuga, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] High-speed demonstration of bit-serial floating-point adders and multipliers using single-flux-quantum (SFQ) circuits

    • Author(s)
      X. Peng, T. Kato, Y. Yamanashi, N. Yoshikawa, A. Fujimaki, K. Takagi, N. Takagi, S. Nagasawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Invited
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Demonstration of Single-Flux-Quantum Time-to-Digital Converters for Time-of-Flight Mass Spectrometry

    • Author(s)
      K. Sano, Y. Yamanashi, N. Yoshikawa, N. Zen, M. Ohkubo
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design Method of Single Flux Quantum Logic Circuits Using Dynamically Reconfigurable Logic Gates

    • Author(s)
      S. Nishimoto, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] New superconductive digital magnetometer with sub-flux quantum resolution

    • Author(s)
      F. China, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Experimental demonstration of quantum-flux-latch-based circuits

    • Author(s)
      N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Inductance and coupling of stacked vias in a multilayer superconductive IC process

    • Author(s)
      C. J. Fourie, X. Peng, R. Numaguchi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Development of Vortex Transition Memory Cells for a Josephson RAM

    • Author(s)
      Y. Komura, M. Tanaka, A. Fujimaki , N. Yoshikawa, S. Nagasawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Magnetic field tolerant single-flux-quantum circuit for superconducting sensing system

    • Author(s)
      Y. Yamanashi, Y. Tsuga, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Modelling and calibration of ADP process for inductance calculation with InductEx

    • Author(s)
      Coenrad J. Fourie, X. Peng, A. Takahashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] SFQ Digital読み出しのための高感度CMOS増幅器の特性評価

    • Author(s)
      西村考正・佐々木悠太・彭 析竹、山梨裕希、吉川信行
    • Organizer
      2014電子情報通信学会総合大会
    • Place of Presentation
      新潟大学五十嵐キャンパス
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Experimental Evaluation of SFQ Pulse Transfer Circuits for Current Recycling

    • Author(s)
      A. Takahashi, K. Ehara, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2012)
    • Place of Presentation
      Nagoya University, Nagoya
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] High Speed Operation of Single Flux Quantum Multiple Input Merger Using a Magnetically Coupled SQUID Stack

    • Author(s)
      K. Sato, Y. Yamanashi, N. Yoshikawa
    • Organizer
      014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA,
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] High-speed demonstration of bit-serial floating-point adders and multipliers using single-flux-quantum (SFQ) circuits

    • Author(s)
      X. Peng, T. Kato, Y. Yamanashi, N. Yoshikawa, A. Fujimaki, K. Takagi, N. Takagi, S. Nagasawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Invited
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Improvement of 64-kb Josephson-CMOS hybrid memories toward their complete operation

    • Author(s)
      X. Peng, Y. Sasaki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型量子磁束パラメトロンのパラメータばらつき及び配線インダクタンスが回路動作に及ぼす影響の研究

    • Author(s)
      司徳永、竹内尚輝、井上健太、山梨裕希、吉川信行
    • Organizer
      第74回応用物理学会秋季学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 10 kA/cm2 Nbプロセスにおけるキャパシタンスを付加したJosephson接合のEscape Rate測定

    • Author(s)
      室 健太郎、向山隆志、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2013年ソサイエティ大会
    • Place of Presentation
      福岡工業大学
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design and High-Speed Tests of a Single-Flux-Quantum Time-to-Digital Converter for Time-of-Flight Mass Spectrometry

    • Author(s)
      K. Sano, A. Takahashi, Y. Yamanashi, N. Yoshikawa, N. Zen, K. Suzuki, M. Ohkubo
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] High-speed Demonstration of Bit-serial SFQ-based Computing for Integer Iteration Algorithms

    • Author(s)
      Q. Xu, T. Ortlepp, Y. Yamanashi, N .Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Power Reduction of Rf-SQUID Memory Cell Using Stochastic Resonance

    • Author(s)
      R. Kihara, Y. Yamanashi, N. Yoshikawa
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Power Reduction of Rf-SQUID Memory Cell Using Stochastic Resonance

    • Author(s)
      R. Kihara, Y. Yamanashi, N. Yoshikawa
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design and High-Speed Demonstration of SFQ Bit-Serial Floating-Point Multipliers Using ISTEC 10 kA/cm2 Nb Process

    • Author(s)
      X. Peng, Y. Shimamura, Y. Yamanashi, N. Yoshikawa, A. Fujimaki, K. Takagi, N. Takagi, S. Nagasawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Dynamics of parallel superconducting strip ion detectors

    • Author(s)
      N. Zen, M. Ohkubo, S. Shiki, M. Ukibe, M. Koike, K. Sano, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Invited
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 断熱型QFP回路の安定動作に向けたシールド構造の研究

    • Author(s)
      井上健太、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2013年ソサイエティ大会
    • Place of Presentation
      福岡工業大学
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Demonstration of Single-Flux-Quantum Time-to-Digital Converters for Time-of-Flight Mass Spectrometry

    • Author(s)
      K. Sano, Y. Yamanashi, N. Yoshikawa, N. Zen, M. Ohkubo
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USACharlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] SFQ Digital読み出しのための高感度CMOS増幅器の特性評価

    • Author(s)
      西村考正・佐々木悠太・彭 析竹、山梨裕希、吉川信行
    • Organizer
      2014電子情報通信学会総合大会
    • Place of Presentation
      新潟大学五十嵐キャンパス(新潟市)
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Recent Progress Towards a Reversible Computer using Adiabatic Superconductor Logic

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Measurement of a Gray Zone Width of SFQ Multi-Threshold Current Discriminators for m/z-Sensitive Time-of-Flight Mass Spectrometry

    • Author(s)
      Y. Muramatsu, K. Sano, T. Shimoda, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Ballistic interconnects for energy efficient superconductor electronics

    • Author(s)
      T. Ortlepp, M. Fiedler, N. Takeuchi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] nvestigation of Post-Processing Circuit to Improve Superconducting Physical Random Number Generator

    • Author(s)
      H. Sugata, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 超伝導ストリップ線イオン検出器を用いた飛行時間分析装置のための単一磁束量子読みだし回路の研究

    • Author(s)
      吉川信行、佐野京佑、山梨裕希、全伸幸、大久保雅隆
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会
    • Place of Presentation
      東北大学 電気通信研究所
    • Invited
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Experimental demonstration of logical and physical reversibility of reversible quantum-flux-parametron gates

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Investigation of Post-Processing Circuit to Improve Superconducting Physical Random Number Generator

    • Author(s)
      H. Sugata, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 飛行時間型超伝導質量分析システムの最近の研究進展

    • Author(s)
      佐野京佑、山梨裕希、吉川信行、全伸幸、大久保雅隆
    • Organizer
      電子情報通信学会技術研究報告
    • Place of Presentation
      東北大学電気通信研究所(仙台)
    • Year and Date
      2014-10-15 – 2014-10-16
    • Invited
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Demonstration of Fully Functional 64-kb Josephson/CMOS Hybrid Memory

    • Author(s)
      X. Peng, Y. Sasaki, H. Jin, K. Kuwabara, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Energy Dissipation and Bit-Error-Rate of Adiabatic Quantum-Flux-Parametron Logic with Under-Damped Junctions

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 60-GHz Demonstration of an SFQ Half-Precision Bit-Serial Floating-Point Adder Using 10 kA/cm2 Nb Process

    • Author(s)
      T. Kato, Y. Yamanashi, N. Yoshikawa, A. Fujimaki, N. Takagi, K. Takagi, S. Nagasawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 【招待講演】飛行時間型超伝導質量分析システムの最近の研究進展

    • Author(s)
      佐野京佑、山梨裕希、吉川信行、全伸幸、大久保雅隆
    • Organizer
      電子情報通信学会技術研究報告SCE2014-39
    • Place of Presentation
      東北大学電気通信研究所(仙台)
    • Year and Date
      2014-10-15 – 2014-10-16
    • Invited
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型超伝導回路と可逆演算への展開

    • Author(s)
      吉川信行
    • Organizer
      応用物理学会超伝導分科会第48回研究会
    • Place of Presentation
      産業技術総合研究所つくばセンター
    • Invited
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Novel multiple input single flux quantum merge circuit using serially connected dc-SQUIDs

    • Author(s)
      K. Sato, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Improvement of 64-kb Josephson-CMOS hybrid memories toward their complete operation

    • Author(s)
      X. Peng, Y. Sasaki, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Zero Static Power Single Flux Quantum Circuit Using Magnetic Flux Biasing

    • Author(s)
      Y. Yamanashi, R. Tsutumi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Investigation on Component Circuits for the SFQ FFT Processor using the 10 kA/cm2 Nb Process

    • Author(s)
      Y. Sakashita, Y. Yamanashi, N. Yoshikawa
    • Organizer
      th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 75-GHz operation of an SFQ butterfly processing unit for FFT processors using the Nb 10 kA/cm2 Josephson process

    • Author(s)
      Y. Sakashita, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 64-kb SFQ/CMOSハイブリッドメモリの測定と評価

    • Author(s)
      佐々木悠太、彭 析竹、西村考正、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2013年ソサイエティ大会
    • Place of Presentation
      福岡工業大学
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Time-of-Flight Mass Spectrometry Using Signe-Flux-Quantum Time-to-Digital Converter and a Superconducting Strip Ion Detector in a Cryo-Cooler

    • Author(s)
      K. Sano, Y. Muramatsu, T. Shimoda, Y. Yamanashi, N. Yoshikawa, N. Zen, M. Ohkubo
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Purely reversible quantum-flux-parametron logic

    • Author(s)
      N. Takeuchi, Y. Ymanashi, N. Yoshikawa
    • Organizer
      European Conference on Applied Superconductivity (Eucas2013)
    • Place of Presentation
      Genova, Italy
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Recent Research Development on Adiabatic Quantum-Flux Parametron as Ultra-Low-Power Logic

    • Author(s)
      N. Yoshikawa, N. Takeuchi, K. Inoue, T. Mukaiyama, K. Ehara ,Y. Yamanashi
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2012)
    • Place of Presentation
      Nagoya University, Nagoya
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] A Method to Provide Bias Current for Large-Scale SFQ Circuitsusing Locally Isolated Ground Planes

    • Author(s)
      X. Peng, H.Suzuki, Y.Yamanashi, N. Yoshikawa
    • Organizer
      European Conference on Applied Superconductivity (Eucas2013)
    • Place of Presentation
      Genova, Italy
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Recent Progress Towards a Reversible Computer using Adiabatic Superconductor Logic

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Measurement of a Gray Zone Width of SFQ Multi-Threshold Current Discriminators for m/z-Sensitive Time-of-Flight Mass Spectrometry

    • Author(s)
      Y. Muramatsu, K. Sano, T. Shimoda, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] アンダーダンプ接合を用いた断熱型QFP回路の消費エネルギーとビットエラーレートの評価

    • Author(s)
      竹内尚輝、山梨裕希、吉川信行
    • Organizer
      日本学術振興会超伝導エレクトロニクス第146委員会通信・情報処理分科会第9回研究会
    • Place of Presentation
      機械振興会館
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 極限的低エネルギー動作を実現する断熱型超伝導回路とその可逆演算動作の可能性

    • Author(s)
      吉川信行
    • Organizer
      日本学術振興会超伝導エレクトロニクス第146委員会通信・情報処理分科会第9回研究会
    • Place of Presentation
      機械振興会館
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 断熱型量子磁束パラメトロンのパラメータばらつき及び配線インダクタンスが回路動作に及ぼす影響の研究

    • Author(s)
      司徳永、竹内尚輝、井上健太、山梨裕希、吉川信行
    • Organizer
      第74回応用物理学会秋季学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス(京都府)
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 磁気シールド構造を持つ論理セルを用いた断熱型磁束量子パラメトロン論理回路の設計と測定

    • Author(s)
      井上健太、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2014電子情報通信学会総合大会
    • Place of Presentation
      新潟大学五十嵐キャンパス(新潟市)
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] New superconductive digital magnetometer with sub-flux quantum resolution

    • Author(s)
      F. China, Y. Yamanashi, N. Yoshikawa
    • Organizer
      nternational Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design and High-Speed Tests of a Single-Flux-Quantum Time-to-Digital Converter for Time-of-Flight Mass Spectrometry

    • Author(s)
      K. Sano, A. Takahashi, Y. Yamanashi, N. Yoshikawa, N. Zen, K. Suzuki, M. Ohkubo
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Demonstration of a bit-serial SFQ-based computing for integer iteration algorithms

    • Author(s)
      Q. Xu, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Demonstration of Fully Functional 64-kb Josephson/CMOS Hybrid Memory

    • Author(s)
      X. Peng, Y. Sasaki, H. Jin, K. Kuwabara, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Research trend of superconductor digital electronics in Japan

    • Author(s)
      A. Fujimaki, N. Yoshikawa, M. Hidaka
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Design and demonstration of an 8-bit carry look-ahead adder using ultra-low-power adiabatic quantum-flux-parametron logic

    • Author(s)
      N. Yoshikawa, K. Inoue, N. Takeuchi, Y. Yamanashi
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導エレクトロニクスの新展開-超低電力コンピューティングから高分子質量分析まで

    • Author(s)
      吉川信行
    • Organizer
      電気学会マグネティックス研究会、MAG-14-085
    • Place of Presentation
      機械振興会館
    • Year and Date
      2014-07-31 – 2014-08-01
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 断熱型磁束量子パラメトロン回路のためのSplitterセルの設計

    • Author(s)
      奈良間達也、竹内尚輝、井上健太、山梨裕希、吉川信行
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Demonstration of a Single-Flux-Quantum Time-to-Digital Converter with 3×24-Bit First-In First-Out Buffers for Time-of-Flight Mass Spectrometry of Biomolecules

    • Author(s)
      K. Sano, Y. Muramatsu, T. Shimoda, Y. Yamanashi, N. Yoshikawa , N. Zen, M. Ohkubo
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Development of Vortex Transition Memory Cells for a Josephson RAM

    • Author(s)
      Y. Komura, M. Tanaka, A. Fujimaki , N. Yoshikawa, S. Nagasawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] High-speed Demonstration of an SFQ-based Computing System for Solving 3n+1 Problem

    • Author(s)
      Q. Xu, X. Peng, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 断熱型QFP回路の安定動作に向けたシールド構造の研究

    • Author(s)
      井上健太、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2013年ソサイエティ大会
    • Place of Presentation
      福岡工業大学(福岡市)
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] High-speed measurement of serially biased large-scale SFQ circuits

    • Author(s)
      Takahashi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] SFQ/CMOSハイブリッドメモリ用CMOS SRAMの低消費電力化

    • Author(s)
      佐々木悠太・彭 析竹・西村考正、山梨裕希、吉川信行
    • Organizer
      2014電子情報通信学会総合大会
    • Place of Presentation
      新潟大学五十嵐キャンパス(新潟市)
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] High-speed demonstration of an integer-based hardware-algorithm using energy-efficient single-flux-quantum circuits

    • Author(s)
      Q. Xu, Y. Shimamura, N. Yoshikawa, T. Ortlepp
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Experimental demonstration of logical and physical reversibility of reversible quantum-flux-parametron gates

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design and Test of dc-Biased Zero Static Power Single Flux Quantum Circuit

    • Author(s)
      Y. Yamanashi, R. Tsutsumi, N.Yoshikawa
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and demonstration of an 8-bit carry look-ahead adder using ultra-low-power adiabatic quantum-flux-parametron logic

    • Author(s)
      N. Yoshikawa, K. Inoue, N. Takeuchi, Y. Yamanashi
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] アンダーダンプ接合を用いた断熱型QFP回路の有限温度におけるビットエネルギー

    • Author(s)
      竹内尚輝、井上健太、山梨裕希、吉川信行
    • Organizer
      第74回応用物理学会秋季学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 75-GHz operation of an SFQ butterfly processing unit for FFT processors using the Nb 10 kA/cm2 Josephson process

    • Author(s)
      Y. Sakashita, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Adiabatic Quantum-flux-parametron Cell Library with Minimalist Design

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design and Test of dc-Biased Zero Static Power Single Flux Quantum Circuit

    • Author(s)
      Y. Yamanashi, R. Tsutsumi, N.Yoshikawa
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Purely reversible quantum-flux-parametron logic

    • Author(s)
      N. Takeuchi, Y. Ymanashi, N. Yoshikawa
    • Organizer
      European Conference on Applied Superconductivity (Eucas2013)
    • Place of Presentation
      Genova, Italy
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Superconductive Electronics Research at Yokohama National University

    • Author(s)
      N. Yoshikawa
    • Organizer
      1st Stellenbosch Workshop on Superconductive Circuit Modelling and Layout Extraction
    • Place of Presentation
      Stellenbosch, South Africa
    • Year and Date
      2014-09-01 – 2014-09-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Statistical Analysis of the Relationship between Timing Margin and the Error Rate of Single-Flux-Quantum Logic Circuits

    • Author(s)
      Y. Yamanashi, K. Masubuchi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration of a 10,000-gate AQFP Circuit with 5 mA Bias Current

    • Author(s)
      T. Narama, N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design and High-Speed Demonstration of SFQ Bit-Serial Floating-Point Multipliers Using ISTEC 10 kA/cm2 Nb Process

    • Author(s)
      X. Peng, Y. Shimamura, Y. Yamanashi, N. Yoshikawa, A. Fujimaki, K. Takagi, N. Takagi, S. Nagasawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Invited
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Energy Dissipation and Bit-Error-Rate of Adiabatic Quantum-Flux-Parametron Logic with Under-Damped Junctions

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Demonstration of SFQ Half-Precision Floating-Point Multiplier using 10 kA/cm2 Nb Process

    • Author(s)
      X. Peng, Y. Shimamura, Y. Yamanashi, N. Yoshikawa, A. Fujimaki, K. Takagi, N. Takagi, S. Nagasawa
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2012)
    • Place of Presentation
      Nagoya University, Nagoya
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Performance Estimation and Design of High-Sensitive Superconductive Digital Magnetometer

    • Author(s)
      F. China, Y. Yamanashi, N. Yoshikawa
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design of RSFQ Microprocessors Integrated with RAMs Based on Bit-Serial Processing

    • Author(s)
      M. Tanaka, K. Takata, R. Satoh, A. Fujimaki, T. Kawaguchi, Y. Ando, K. Takagi, N. Takagi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Invited
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Adiabatic Quantum-flux-parametron Cell Library with Minimalist Design

    • Author(s)
      N. Takeuchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Demonstration of a bit-serial SFQ-based computing for integer iteration algorithms

    • Author(s)
      Q. Xu, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Novel Latch for Adiabatic Quantum-Flux-Parametron Logic

    • Author(s)
      N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Modelling and calibration of ADP process for inductance calculation with InductEx

    • Author(s)
      Coenrad J. Fourie, X. Peng, A. Takahashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Design of Shift-Register Memories for SFQ Microprocessors CORE e

    • Author(s)
      R. Numaguchi, T. Takahashi, N. Yoshikawa, Y. Yamanashi, A. Fujimaki, M. Tanaka, N. Takagi, K. Takagi
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] nductance and coupling of stacked vias in a multilayer superconductive IC process

    • Author(s)
      C. J. Fourie, X. Peng, R. Numaguchi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Zero Static Power Single Flux Quantum Circuit Using Magnetic Flux Biasing

    • Author(s)
      Y. Yamanashi, R. Tsutumi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Statistical Analysis of the Relationship between Timing Margin and the Error Rate of Single-Flux-Quantum Logic Circuits

    • Author(s)
      Y. Yamanashi, K. Masubuchi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 磁気シールド構造を持つ論理セルを用いた断熱型磁束量子パラメトロン論理回路の設計と測定

    • Author(s)
      井上健太、竹内尚輝、山梨裕希、吉川信行
    • Organizer
      2014電子情報通信学会総合大会
    • Place of Presentation
      新潟大学五十嵐キャンパス
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] High Speed Operation of Single Flux Quantum Multiple Input Merger Using a Magnetically Coupled SQUID Stack

    • Author(s)
      K. Sato, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Improvement of a Coupling Structure of Driver Receiver Circuits for Serially Biased SFQ Circuits

    • Author(s)
      K. Ehara, A. Takahashi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2012)
    • Place of Presentation
      Nagoya University, Nagoya
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] High-speed measurement of serially biased large-scale SFQ circuits

    • Author(s)
      Takahashi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Comparison of Jitter in Three Types of SFQ Ring Oscillators

    • Author(s)
      T. Shimoda, Y. Muramatsu, K. Sano, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design of a High-Throughput Decoder for SFQ Shift-Register Memories

    • Author(s)
      T. Takahashi, R. Numaguchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] High-speed Demonstration of Bit-serial SFQ-based Computing for Integer Iteration Algorithms

    • Author(s)
      Q. Xu, T. Ortlepp, Y. Yamanashi, N .Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 容量性カップリングを用いたCMOS 可逆論理回路の動作実証

    • Author(s)
      井上孔佑、古市真也、吉川信行
    • Organizer
      2014電子情報通信学会総合大会
    • Place of Presentation
      新潟大学五十嵐キャンパス
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design challenges for realizing large-scale single-flux-quantum circuits: how to cope with large bias currents

    • Author(s)
      N. Yoshikawa
    • Organizer
      1st Stellenbosch Workshop on Superconductive Circuit Modelling and Layout Extraction
    • Place of Presentation
      Stellenbosch, South Africa
    • Year and Date
      2014-09-01 – 2014-09-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 60-GHz Demonstration of an SFQ Half-Precision Bit-Serial Floating-Point Adder Using 10 kA/cm2 Nb Process

    • Author(s)
      T. Kato, Y. Yamanashi, N. Yoshikawa, A. Fujimaki, N. Takagi, K. Takagi, S. Nagasawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 64-kb SFQ/CMOSハイブリッドメモリの測定と評価

    • Author(s)
      佐々木悠太、彭 析竹、西村考正、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2013年ソサイエティ大会
    • Place of Presentation
      福岡工業大学(福岡市)
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Design challenges for realizing large-scale single-flux-quantum circuits: how to cope with large bias currents

    • Author(s)
      N. Yoshikawa
    • Organizer
      1st Stellenbosch Workshop on Superconductive Circuit Modelling and Layout Extraction
    • Place of Presentation
      Stellenbosch, South Africa
    • Year and Date
      2014-09-01 – 2014-09-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] (Invited) Dynamics of parallel superconducting strip ion detectors

    • Author(s)
      N. Zen, M. Ohkubo, S. Shiki, M. Ukibe, M. Koike, K. Sano, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Invited
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Experimental demonstration of quantum-flux-latch-based circuits

    • Author(s)
      N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design and Test of SFQ Escape Rate Measurement Circuits of Josephson Junctions using 10 kA/cm2 Nb Process

    • Author(s)
      K. Muro, T. Mukaiyama, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2012)
    • Place of Presentation
      Nagoya University, Nagoya
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Improvement of an On-Chip AC Power Source for Adiabatic Quantum-Flux-Parametron Logic

    • Author(s)
      T. Mukaiyama, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2012)
    • Place of Presentation
      Nagoya University, Nagoya
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Cell-Base Design of a 1-bit Arithmetic Logic Unit Using Ultra-Low-Power Adiabatic Quantum-Flux-Parametron

    • Author(s)
      K. Inoue, N. Takeuchi, K. Ehara, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2012)
    • Place of Presentation
      Nagoya University, Nagoya
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] アンダーダンプ接合を用いた断熱型QFP回路の有限温度におけるビットエネルギー

    • Author(s)
      竹内尚輝、井上健太、山梨裕希、吉川信行
    • Organizer
      第74回応用物理学会秋季学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス(京都府)
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] SFQ回路を用いたデジタル多重化

    • Author(s)
      吉川信行
    • Organizer
      未踏科学技術協会超伝導科学技術研究会第83回ワークショップ
    • Place of Presentation
      全日通霞が関ビルディング(東京)
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Demonstration of a Single-Flux-Quantum Time-to-Digital Converter with 3×24-Bit First-In First-Out Buffers for Time-of-Flight Mass Spectrometry of Biomolecules

    • Author(s)
      K. Sano, Y. Muramatsu, T. Shimoda, Y. Yamanashi, N. Yoshikawa , N. Zen, M. Ohkubo
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Design of Shift-Register Memories for SFQ Microprocessors CORE e

    • Author(s)
      R. Numaguchi, T. Takahashi, N. Yoshikawa, Y. Yamanashi, A. Fujimaki, M. Tanaka, N. Takagi, K. Takagi
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] アンダーダンプ接合を用いた断熱型QFP回路の消費エネルギーとビットエラーレートの評価

    • Author(s)
      竹内尚輝、山梨裕希、吉川信行
    • Organizer
      日本学術振興会超伝導エレクトロニクス第146委員会通信・情報処理分科会第9回研究会
    • Place of Presentation
      機械振興会館
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Ballistic interconnects for energy efficient superconductor electronics

    • Author(s)
      T. Ortlepp, M. Fiedler, N. Takeuchi, N. Yoshikawa
    • Organizer
      2014 Appl. Superconductivity Conference (ASC 2014)
    • Place of Presentation
      Charlotte, North Carolina, USA
    • Year and Date
      2014-08-10 – 2014-08-15
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] A Method to Provide Bias Current for Large-Scale SFQ Circuitsusing Locally Isolated Ground Planes

    • Author(s)
      X. Peng, H.Suzuki, Y.Yamanashi, N. Yoshikawa
    • Organizer
      European Conference on Applied Superconductivity (Eucas2013)
    • Place of Presentation
      Genova, Italy
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 断熱型超伝導回路と可逆演算への展開

    • Author(s)
      吉川信行
    • Organizer
      応用物理学会超伝導分科会第48回研究会
    • Place of Presentation
      産業技術総合研究所つくばセンター(つくば)
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Novel multiple input single flux quantum merge circuit using serially connected dc-SQUIDs

    • Author(s)
      K. Sato, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] 断熱型磁束量子パラメトロン回路のためのSplitterセルの設計

    • Author(s)
      (48) 奈良間達也、竹内尚輝、井上健太、山梨裕希、吉川信行
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      山学院大学相模原キャンパス(神奈川県)
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Simulation and implementation of an 8-bit carry look-ahead adder using adiabatic quantum-flux-parametron logic

    • Author(s)
      K. Inoue, N. Takeuchi, K. Ehara, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] SFQ/CMOSハイブリッドメモリ用CMOS SRAMの低消費電力化

    • Author(s)
      佐々木悠太・彭 析竹・西村考正、山梨裕希、吉川信行
    • Organizer
      2014電子情報通信学会総合大会
    • Place of Presentation
      新潟大学五十嵐キャンパス
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Time-of-Flight Mass Spectrometry Using Signe-Flux-Quantum Time-to-Digital Converter and a Superconducting Strip Ion Detector in a Cryo-Cooler

    • Author(s)
      K. Sano, Y. Muramatsu, T. Shimoda, Y. Yamanashi, N. Yoshikawa, N. Zen, M. Ohkubo
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Comparison of Jitter in Three Types of SFQ Ring Oscillators

    • Author(s)
      T. Shimoda, Y. Muramatsu, K. Sano, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導ストリップ線イオン検出器を用いた飛行時間分析装置のための単一磁束量子読みだし回路の研究

    • Author(s)
      吉川信行、佐野京佑、山梨裕希、全伸幸、大久保雅隆
    • Organizer
      電子情報通信学会超伝導エレクトロニクス研究会 SCE2013-32
    • Place of Presentation
      東北大学 電気通信研究所(仙台)
    • Invited
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] Novel Latch for Adiabatic Quantum-Flux-Parametron Logic

    • Author(s)
      N. Takeuchi, T. Ortlepp, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 容量性カップリングを用いたCMOS 可逆論理回路の動作実証

    • Author(s)
      井上孔佑、古市真也、吉川信行
    • Organizer
      2014電子情報通信学会総合大会
    • Place of Presentation
      新潟大学五十嵐キャンパス(新潟市)
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 10 kA/cm2 Nbプロセスにおけるキャパシタンスを付加したJosephson接合のEscape Rate測定

    • Author(s)
      室 健太郎、向山隆志、山梨裕希、吉川信行
    • Organizer
      電子情報通信学会2013年ソサイエティ大会
    • Place of Presentation
      福岡工業大学(福岡市)
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] High-speed Demonstration of an SFQ-based Computing System for Solving 3n+1 Problem

    • Author(s)
      Q. Xu, X. Peng, Y. Yamanashi, T. Ortlepp, N. Yoshikawa
    • Organizer
      27th International Symposium on Superconductivity (ISS2014)
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2014-11-25 – 2014-11-27
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] Investigation on Component Circuits for the SFQ FFT Processor using the 10 kA/cm2 Nb Process

    • Author(s)
      Y. Sakashita, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-26220904
  • [Presentation] 超伝導エレクトロニクスの新展開-超低電力コンピューティングから高分子質量分析まで

    • Author(s)
      吉川信行
    • Organizer
      電気学会マグネティックス研究会
    • Place of Presentation
      電気学会(千代田区)
    • Year and Date
      2014-07-31 – 2014-08-01
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Measurement of a Single-Flux-Quantum Time-to-Digital Converter for Time-of-Flight Mass Spectrometry

    • Author(s)
      K. Sano, Y. Yamanashi, N. Yoshikawa
    • Organizer
      Proceedings of Superconducting SFQ VLSI Workshop (SSV 2012)
    • Place of Presentation
      Nagoya University, Nagoya
    • Data Source
      KAKENHI-PROJECT-22226009
  • [Presentation] 極限的低エネルギー動作を実現する断熱型超伝導回路とその可逆演算動作の可能性

    • Author(s)
      吉川信行
    • Organizer
      日本学術振興会超伝導エレクトロニクス第146委員会通信・情報処理分科会第9回研究会
    • Place of Presentation
      機械振興会館
    • Invited
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Simulation and implementation of an 8-bit carry look-ahead adder using adiabatic quantum-flux-parametron logic

    • Author(s)
      K. Inoue, N. Takeuchi, K. Ehara, Y. Yamanashi, N. Yoshikawa
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] SFQ回路を用いたデジタル多重化

    • Author(s)
      吉川信行
    • Organizer
      未踏科学技術協会超伝導科学技術研究会第83回ワークショップ
    • Place of Presentation
      全日通霞が関ビルディング
    • Invited
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] High-speed demonstration of an integer-based hardware-algorithm using energy-efficient single-flux-quantum circuits

    • Author(s)
      Q. Xu, Y. Shimamura, N. Yoshikawa, T. Ortlepp
    • Organizer
      International Superconductive Electronics Conference (ISEC2013)
    • Place of Presentation
      Cambridge, USA
    • Data Source
      KAKENHI-PROJECT-25630141
  • [Presentation] Design of a High-Throughput Decoder for SFQ Shift-Register Memories

    • Author(s)
      T. Takahashi, R. Numaguchi, Y. Yamanashi, N. Yoshikawa
    • Organizer
      7th Superconducting SFQ VLSI Workshop (SSV 2014)
    • Place of Presentation
      Kobe, Japan
    • Year and Date
      2014-12-01 – 2014-12-02
    • Data Source
      KAKENHI-PROJECT-25630141
  • 1.  KANEDA Hisayoshi (30242382)
    # of Collaborated Projects: 6 results
    # of Collaborated Products: 0 results
  • 2.  SUGAHARA Masanori (40017900)
    # of Collaborated Projects: 5 results
    # of Collaborated Products: 0 results
  • 3.  FUJIMAKI Akira (20183931)
    # of Collaborated Projects: 5 results
    # of Collaborated Products: 65 results
  • 4.  山梨 裕希 (70467059)
    # of Collaborated Projects: 4 results
    # of Collaborated Products: 396 results
  • 5.  逸見 次郎 (50134896)
    # of Collaborated Projects: 3 results
    # of Collaborated Products: 0 results
  • 6.  竹内 尚輝 (00746472)
    # of Collaborated Projects: 3 results
    # of Collaborated Products: 145 results
  • 7.  NAKAJIMA Kouji (60125622)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 0 results
  • 8.  MYOREN Hiroaki (20219827)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 0 results
  • 9.  HIDAKA Mutsuo (20500672)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 11 results
  • 10.  Arakawa Taro (40293170)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 6 results
  • 11.  田中 雅光 (10377864)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 61 results
  • 12.  牧瀬 圭正 (60363321)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 0 results
  • 13.  國分 泰雄 (60134839)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 3 results
  • 14.  アヤラ クリストファー (90772195)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 66 results
  • 15.  MAEZAWA Masaaki (40357976)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 16.  SUZUKI Hideo (40607230)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 4 results
  • 17.  OHKUBO Masataka (60356623)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 3 results
  • 18.  UKIBE Masahiro (00344226)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 1 results
  • 19.  SHIKI Shigetomo (50342796)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 1 results
  • 20.  ZEN Nobuyuki (20455439)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 3 results
  • 21.  KAORI Kamoshida (50415775)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 22.  高木 直史 (10171422)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 23.  山下 太郎 (60567254)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 24.  陳 オリビア (70837856)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 16 results
  • 25.  橋本 昌宜 (80335207)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 26.  福田 浩一 (00586282)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 27.  安部 晋一郎 (00727373)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 28.  川瀬 頌一郎 (10817133)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 29.  渡辺 幸信 (30210959)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 30.  末永 幸平 (70633692)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 31.  廖 望 (70846683)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 32.  関川 卓也 (90985946)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 33.  新倉 潤 (50644720)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 34.  DOROJEVETS Mikhail
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 6 results

URL: 

Information User Guide FAQ News Terms of Use Attribution of KAKENHI

Powered by NII kakenhi