• Search Research Projects
  • Search Researchers
  • How to Use
  1. Back to previous page

Inoue Koji  井上 弘士

ORCIDConnect your ORCID iD *help
… Alternative Names

INOUE Koji  井上 弘士

Less
Researcher Number 80341410
Other IDs
External Links
Affiliation (Current) 2025: 九州大学, システム情報科学研究院, 教授
Affiliation (based on the past Project Information) *help 2016 – 2024: 九州大学, システム情報科学研究院, 教授
2014 – 2015: 九州大学, システム情報科学研究科(研究院, 教授
2012: 九州大学, システム情報科学研究科(研究院, 准教授
2012: 九州大学, 大学院・システム情報科学研究院, 准教授
2009 – 2011: Kyushu University, システム情報科学研究院, 准教授 … More
2006 – 2007: Kyushu University, システム情報科学研究院, 准教授
2004 – 2005: 九州大学, システム情報科学研究院, 助教授
2004: Kyushu University, Graduate School of Information Science and Electrical Engineering, Associate Professor, 大学院・システム情報科学研究院, 助教授
2002 – 2004: 福岡大学, 工学部, 助手 Less
Review Section/Research Field
Principal Investigator
Medium-sized Section 60:Information science, computer engineering, and related fields / Computer system / Computer system/Network / Broad Section J / Information science, computer engineering, and related fields / 計算機科学
Except Principal Investigator
計算機科学
Keywords
Principal Investigator
マイクロプロセッサ / 単一磁束量子回路 / コンピュータアーキテクチャ / 超伝導コンピューティング / 動的最適化 / 低消費電力 / 極低温コンピューティング / コンピュータ・アーキテクチャ / 組込みシステム / 新奇デバイス … More / 超伝導コンピュータ / アーキテクチャ / プロセッサ / 無線通信 / サイバーセキュリティ / ポストムーア / スーパーコンピューティング / 超伝導デバイス / 超伝導プロセッサ / 高性能マイクロプロセッサ / 超伝導 / ハイパフォーマンスコンピューティング / ハイパフォーマンスコンピューティング / 3次元LSI / 高性能計算 / 3次元積層 / メニーコア / マイクロ・アーキテクチャ / 信頼性 / レジスタ・ファイル / 命令ROM / キャッシュ・メモリ / カスタム設計 / 再利用技術 / 予測技術 / キャッシュ / メモリ・システム / 高性能 … More
Except Principal Investigator
Social Infrastructure System / Low Power Technique / Computer System / System on a Chip / Secure Network / ディジタルネーミング / 無線通信ネットワーク / 低消費エネルギー / 暗号回路 / システムLSI / 情報セキュリティ / 個人認証 / RFID / ディジタルメーミング / 社会基盤システム / 低消費電力技術 / 計算機システム / システムオンチップ / セキュアネットワーク / design methods / low power / memory optimization / adaptive algorithms / data-reuse / motion estimation / video coding / video processing / 設計手法 / 低消費電力 / メモリ最適化 / 適応型アルゴリズム / データ再利用 / 動き検出 / ビデオ圧縮 / 画像処理 Less
  • Research Projects

    (11 results)
  • Research Products

    (247 results)
  • Co-Researchers

    (26 People)
  •  ポストムーア時代を見据えた超伝導コンピューティング技術の創成と展開Principal Investigator

    • Principal Investigator
      井上 弘士
    • Project Period (FY)
      2022 – 2025
    • Research Category
      Grant-in-Aid for Scientific Research (A)
    • Review Section
      Medium-sized Section 60:Information science, computer engineering, and related fields
    • Research Institution
      Kyushu University
  •  Creation and development of superconducting computing technology for post-Moore eraPrincipal Investigator

    • Principal Investigator
      井上 弘士
    • Project Period (FY)
      2022 – 2026
    • Research Category
      Grant-in-Aid for Scientific Research (S)
    • Review Section
      Broad Section J
    • Research Institution
      Kyushu University
  •  Over 100 GHz Time-Space Superconductor Computing for Post-Moore EraPrincipal Investigator

    • Principal Investigator
      Inoue Koji
    • Project Period (FY)
      2019 – 2021
    • Research Category
      Grant-in-Aid for Scientific Research (A)
    • Review Section
      Medium-sized Section 60:Information science, computer engineering, and related fields
    • Research Institution
      Kyushu University
  •  Cyber Security with Physical CharacteristicsPrincipal Investigator

    • Principal Investigator
      Inoue Koji
    • Project Period (FY)
      2017 – 2018
    • Research Category
      Grant-in-Aid for Challenging Research (Exploratory)
    • Research Field
      Information science, computer engineering, and related fields
    • Research Institution
      Kyushu University
  •  Research on 100 GHz Ultra-High-Speed Superconducting Microprocessor ArchitecturePrincipal Investigator

    • Principal Investigator
      Inoue Koji
    • Project Period (FY)
      2016 – 2018
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Research Field
      Computer system
    • Research Institution
      Kyushu University
  •  Single-Flux-Quantum Microprocessor Architecture for Space ComputingPrincipal Investigator

    • Principal Investigator
      Inoue Koji
    • Project Period (FY)
      2014 – 2015
    • Research Category
      Grant-in-Aid for Challenging Exploratory Research
    • Research Field
      Computer system
    • Research Institution
      Kyushu University
  •  Manycore Processors for On-chip SupercomputingPrincipal Investigator

    • Principal Investigator
      INOUE Koji
    • Project Period (FY)
      2009 – 2012
    • Research Category
      Grant-in-Aid for Young Scientists (A)
    • Research Field
      Computer system/Network
    • Research Institution
      Kyushu University
  •  高信頼化と低消費電力化の両立を目的とした環境適応型プロセッサに関する研究Principal Investigator

    • Principal Investigator
      井上 弘士
    • Project Period (FY)
      2005 – 2007
    • Research Category
      Grant-in-Aid for Young Scientists (A)
    • Research Field
      Computer system/Network
    • Research Institution
      Kyushu University
  •  予測技術を用いた高性能/低消費電力メモリ・システムの開発Principal Investigator

    • Principal Investigator
      井上 弘士
    • Project Period (FY)
      2002 – 2004
    • Research Category
      Grant-in-Aid for Young Scientists (A)
    • Research Field
      計算機科学
    • Research Institution
      Kyushu University
      Fukuoka University
  •  Optimization of video coding/decoding system based on data-reuse.

    • Principal Investigator
      MOSHNYAGA V.G.
    • Project Period (FY)
      2002 – 2004
    • Research Category
      Grant-in-Aid for Scientific Research (C)
    • Research Field
      計算機科学
    • Research Institution
      Fukuoka University
  •  Research on System LSI Design Methodology for Social Infrastructure

    • Principal Investigator
      HIROTO Yasuura
    • Project Period (FY)
      2002 – 2006
    • Research Category
      Grant-in-Aid for Creative Scientific Research
    • Research Institution
      Kyushu University

All 2024 2023 2022 2021 2020 2019 2018 2017 2016 2015 2014 2013 2012 2011 2010 2009 2008 2007 2006 2005 2004 2003 2002 Other

All Journal Article Presentation Book Patent

  • [Book] Low power cache design, Chapter 25 in Low-Power Electronics Design (C. Piguet (Editor))2004

    • Author(s)
      V.G.Moshnyaga, K.Inoue
    • Publisher
      CRC press
    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] Inter-Temperature Bandwidth Reduction in Cryogenic QAOA Machines2024

    • Author(s)
      Ueno Yosuke、Tomida Yuna、Tanimoto Teruo、Tanaka Masamitsu、Tabuchi Yutaka、Inoue Koji、Nakamura Hiroshi
    • Journal Title

      IEEE Computer Architecture Letters

      Volume: 23 Issue: 1 Pages: 9-12

    • DOI

      10.1109/lca.2023.3322700

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22K17868, KAKENHI-PROJECT-22H05000
  • [Journal Article] Late Breaking Results: Single Flux Quantum based Brownian Circuits for Ultra-Low-Power Computing2024

    • Author(s)
      Satoshi Kawakami, Yusuke Ohtsubo, Koji Inoue and Masamitsu Tanaka
    • Journal Title

      In Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE)

      Volume: -

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-22H05000
  • [Journal Article] QIsim: Architecting 10+K Qubit QC Interfaces Toward Quantum Supremacy2023

    • Author(s)
      Min Dongmoon、Kim Junpyo、Choi Junhyuk、Byun Ilkwon、Tanaka Masamitsu、Inoue Koji、Kim Jangwoo
    • Journal Title

      ACM/IEEE International Symposium on Computer Architecture (ISCA)

      Volume: - Pages: 1-16

    • DOI

      10.1145/3579371.3589036

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-22H05000
  • [Journal Article] A High-Throughput Multiply-Accumulate Unit With Long Feedback Loop Using Low-Voltage Rapid Single-Flux Quantum Circuits2023

    • Author(s)
      Nagaoka Ikki、Kashima Ryota、Ishida Koki、Tanaka Masamitsu、Yamashita Taro、Ono Takatsugu、Inoue Koji、Fujimaki Akira
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 33 Issue: 3 Pages: 1-8

    • DOI

      10.1109/tasc.2023.3239329

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18H05211, KAKENHI-PROJECT-22H05000
  • [Journal Article] 50-GFLOPS Floating-Point Adder and Multiplier Using Gate-Level-Pipelined Single-Flux-Quantum Logic With Frequency-Increased Clock Distribution2023

    • Author(s)
      Nagaoka Ikki、Kashima Ryota、Tanaka Masamitsu、Kawakami Satoshi、Tanimoto Teruo、Yamashita Taro、Inoue Koji、Fujimaki Akira
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: 33 Issue: 4 Pages: 1-11

    • DOI

      10.1109/tasc.2023.3250614

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-18H05211, KAKENHI-PLANNED-22H05194, KAKENHI-PROJECT-22H05000
  • [Journal Article] Superconductor Computing for Neural Networks2021

    • Author(s)
      Ishida Koki、Byun Ilkwon、Nagaoka Ikki、Fukumitsu Kosuke、Tanaka Masamitsu、Kawakami Satoshi、Tanimoto Teruo、Ono Takatsugu、Kim Jangwoo、Inoue Koji
    • Journal Title

      IEEE Micro

      Volume: 41 Issue: 3 Pages: 1-8

    • DOI

      10.1109/mm.2021.3070488

    • Peer Reviewed / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-18J21274, KAKENHI-PROJECT-19H01105
  • [Journal Article] Demonstration of a 52-GHz Bit-Parallel Multiplier Using Low-Voltage Rapid Single-Flux-Quantum Logic2021

    • Author(s)
      I. Nagaoka, K. Ishida, M. Tanaka, K. Sano, T. Yamashita, T. Ono, K. Inoue, and A. Fujimaki
    • Journal Title

      IEEE Transactions on Applied Superconductivity

      Volume: TBD Issue: 5 Pages: 1-5

    • DOI

      10.1109/tasc.2021.3071996

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18H01498, KAKENHI-PROJECT-19H05615, KAKENHI-PROJECT-18H05211, KAKENHI-PROJECT-19H01105
  • [Journal Article] ムーアの法則の限界が見えた今,デバイス研究はどこに向かうのか ―コンピュータアーキテクチャの視点から―2019

    • Author(s)
      井上弘士, 川上哲志, 田中雅光
    • Journal Title

      電子情報通信学会誌

      Volume: 102 Pages: 957-962

    • NAID

      40022043682

    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Journal Article] Radio Propagation Characteristics-based Spoofing Attack Prevention on Wireless Connected Devices2019

    • Author(s)
      Sonoyama Mihiro、Ono Takatsugu、Kanaya Haruichi、Muta Osamu、Sarangi Smruti R.、Inoue Koji
    • Journal Title

      Journal of Information Processing

      Volume: 27 Issue: 0 Pages: 322-334

    • DOI

      10.2197/ipsjjip.27.322

    • NAID

      130007612768

    • ISSN
      1882-6652
    • Language
      English
    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17K19984
  • [Journal Article] 超伝導単一磁束量子回路による50 GHzビット並列演算マイクロプロセッサに向けた要素回路設計2018

    • Author(s)
      田中雅光, 佐藤諒, 石田浩貴, 畑中湧貴, 松井裕一, 小野貴継, 井上弘士, 藤巻朗
    • Journal Title

      電子情報通信学会論文誌C

      Volume: 101-C Pages: 389-399

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Journal Article] 単一磁束量子回路向けマイクロプロセッサのアーキテクチャ探索2017

    • Author(s)
      石田 浩貴, 田中 雅光, 小野 貴継, 井上 弘士
    • Journal Title

      情報処理学会論文誌

      Volume: Vol.58, No.3 Pages: 629-634

    • NAID

      170000148470

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Journal Article] 単一磁束量子回路に基づくマイクロプロセッサの動向と展望2017

    • Author(s)
      田中雅光, 藤巻朗, 井上弘士
    • Journal Title

      低温工学

      Volume: vol. 52, no. 5 Pages: 323-331

    • NAID

      130006183699

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Journal Article] 単一磁束量子回路プロセッサの性能評価とマイクロアーキテクチャの再検討2014

    • Author(s)
      津秦伴紀,田中雅光,井上弘士
    • Journal Title

      研究報告計算機アーキテクチャ(ARC)

      Volume: 2014-ARC-213 Pages: 1-10

    • NAID

      110009850771

    • Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-26540022
  • [Journal Article] 単一磁束量子回路を用いた超高速マイクロプロセッサの実現に向けて2014

    • Author(s)
      津秦伴紀,井上弘士
    • Journal Title

      研究報告計算機アーキテクチャ(ARC)

      Volume: 2014-ARC-212(6) Pages: 1-1

    • NAID

      110009828194

    • Data Source
      KAKENHI-PROJECT-26540022
  • [Journal Article] Line Sharing Cache: Exploring Cache Capacity with Frequent Line Value Locality2013

    • Author(s)
      Keitarou Oka, Hiroshi Sasaki, and Koji Inoue
    • Journal Title

      18th Asia and South Pacific Design Automation Conference (ASP-DAC)

      Volume: 18th

    • NAID

      110009712396

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] データ値の局所性を利用したライン共有キャッシュ2012

    • Author(s)
      岡慶太郎, 佐々木広, 阿部祐希, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会論文誌 コンピューティングシステム(ACS)

      Volume: Vol.5, No.4 Pages: 36-47

    • NAID

      40019469375

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 3次元積層SRAM/DRAMハイブリッド・キャッシュ2012

    • Author(s)
      上野伸也, 橋口慎哉, 福本尚人, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会論文誌ACS

      Volume: Vol.5 No.1 Pages: 41-52

    • NAID

      170000065683

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Efficient Barrier Synchronization for 2D Meshed NoC-based Many-core Processors2012

    • Author(s)
      Lovic Gauthier, Farhad Mehdipour, Koji Inoue, Shinya Ueno, Hiroshi Sasaki
    • Journal Title

      The 17th Workshop on Synthesis And System Integration of Mixed Information technologies

      Pages: 510-515

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 並列処理性能向上を目的としたマルチコア向けヘルパースレッド実行法2012

    • Author(s)
      福本尚人, 佐々木広, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会論文誌 コンピューティングシステム(ACS)

      Volume: Vol.5, No.3 Pages: 101-111

    • NAID

      40019469301

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] データ値の局所性を利用したライン共有キャッシュ2012

    • Author(s)
      岡慶太郎,佐々木広,阿部祐希,井上弘士,村上和彰
    • Journal Title

      情報処理学会論文誌

      Volume: Vol.5, No.4 Pages: 36-47

    • NAID

      40019469375

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Power and Performance Analysis of GPU-Accelerated Systems2012

    • Author(s)
      Yuki Abe, Hiroshi Sasaki, Martin Peres, Koji Inoue, Kazuaki Murakami, and Shinpei Kato
    • Journal Title

      The 2012 Workshop on Power-Aware Computing and Systems

      Volume: 2012

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] パケットペーシングを用いた集団通信に対するロード/ネットワークインバランスの影響2012

    • Author(s)
      柴村英智, 三輪英樹, 三吉郁夫, 井上弘士
    • Journal Title

      情報処理学会研究報告

      Volume: Vol.2012-HPC-135, No.2 Pages: 1-8

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Improving performance and energy efficiency of embedded processors via post-fabrication instruction set customization2012

    • Author(s)
      Hamid Noori, Farhad Mehdipour, Koji Inoue, and Kazuaki Murakami
    • Journal Title

      The Journal of Supercomputing

      Volume: Vol.60, No.2 Pages: 196-222

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Optimizing Power-Performance Trade-off for Parallel Applications through Dynamic Core-count and Frequency Scaling2012

    • Author(s)
      Satoshi Imamura, Hiroshi Sasaki, Naoto Fukumoto, Koji Inoue, Kazuaki Murakami
    • Journal Title

      2nd Workshop on Runtime Environments/Systems, Layering, and Virtualized Environments (RESoLVE '12)

      Volume: (CD-R)

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] データ値の局所性を利用したライン共有キャッシュの提案2011

    • Author(s)
      岡慶太郎, 福本尚人, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会研究報告

      Volume: 2011-ARC-188(Web)

    • NAID

      110008583230

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 大規模スーパコンピュータ向けシステム性能評価環境の構築2011

    • Author(s)
      井上弘士,安藤壽茂,薄田竜太郎,山村周史,柴村英智,三輪英樹,本田宏明,稲富雄一,眞木淳,平尾智也,青柳睦,村上和彰,石附茂,小松秀実,安島雄一郎,三吉郁夫,清水俊幸,黒川原佳
    • Journal Title

      情報処理学会論文誌コンピューティングシステム

      Volume: Vol.4,No.1 Pages: 1-23

    • NAID

      170000064737

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 温度制約を考慮した積層構造マルチコア・プロセッサの性能評価2011

    • Author(s)
      花田高彬, 井上弘士, 村上和彰
    • Journal Title

      電子情報通信学会技術研究報告

      Volume: Vol.110, No.380 Pages: 51-56

    • NAID

      110008675953

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 3次元積層プロセッサ・アーキテクチャの研究動向2011

    • Author(s)
      井上弘士
    • Journal Title

      情報処理学会研究報告

      Volume: 2011-ARC-193, No.9 Pages: 1-4

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] NSIM: An Interconnection Network Simulator for Extreme-Scale Parallel Computers2011

    • Author(s)
      Hideki MIWA Ryutaro SUSUKITA Hidetomo SHIBAMURA Tomoya HIRAO Jun MAKI Makoto YOSHIDA Takayuki KANDO Yuichiro AJIMA Ikuo MIYOSHI Toshiyuki SHIMIZU Yuji OINAGA Hisashige ANDO Yuichi INADOMI Koji INOUEMutsumi AOYAGI Kazuaki MURAKAMI
    • Journal Title

      IEICE TRANSACTIONS on Information and Systems

      Volume: Vol.E94-D,No.12 Pages: 2298-2308

    • NAID

      10030537851

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 演算/メモリ性能バランスを考慮したマルチコア向けオンチップメモリ貸与法2011

    • Author(s)
      福本尚人, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会論文誌コンピューティングシステム(ACS)

      Volume: Vol.4,No.3 Pages: 66-76

    • NAID

      120006654803

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] パケットペーシングによる全対全通信の最適化とシミュレーション評価2011

    • Author(s)
      柴村英智, 三輪英樹, 薄田竜太郎, 平尾智也, 安島雄一郎, 三吉郁夫, 清水俊幸, 石畑宏明, 井上弘士
    • Journal Title

      ハイパフォーマンスコンピューティングと計算科学シンポジウム

      Pages: 42-50

    • NAID

      40019599880

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 演算/メモリ性能バランスを考慮したマルチコア向けオンチップメモリ貸与法2011

    • Author(s)
      福本尚人, 井上弘士, 村上和彰
    • Journal Title

      ハイパフォーマンスコンピューティングと計算科学シンポジウム

      Pages: 130-139

    • NAID

      120006654803

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Aodesign scheme for a reconfigurable accelerator implemented by single-flux quantum circuits2011

    • Author(s)
      Farhad Mehdipour, Hiroaki Honda, Koji Inoue, Hiroshi Kataoka, Kazuaki Murakami
    • Journal Title

      Journal of Systems Architecture-Embedded Systems Design

      Volume: 57(1) Pages: 169-179

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 大規模スーパコンピュータ向けシステム性能評価環境の構築,情報処理学会論文誌コンピューティングシステム2011

    • Author(s)
      井上弘士, 安藤壽茂, 薄田竜太郎, 山村周史, 柴村英智, 三輪英樹, 本田宏明, 稲富雄一, 眞木淳, 平尾智也, 青柳睦, 村上和彰, 石附茂, 小松秀実, 安島雄一郎, 三吉郁夫, 清水俊幸, 黒川原佳
    • Journal Title

      情報処理学会論文誌コンピューティングシステム

      Volume: Vol.4, No.1 Pages: 1-23

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] SRAM/DRAMハイブリッド・キャッシュにおける実行時動作モード決定法の提案2011

    • Author(s)
      橋口慎哉, 福本尚人, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会研究報告

      Volume: 2011-ARC-193, No.9 Pages: 1-9

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] NSIM : An Interconnection Network Simulator for Extreme-Scale Parallel Computers2011

    • Author(s)
      Hideki MIWA, Ryutaro SUSUKITA, Hidetomo SHIBAMURA, Tomoya HIRAO, Jun MAKI, Makoto YOSHIDA, Takayuki KANDO, Yuichiro AJIMA, Ikuo MIYOSHI, Toshiyuki SHIMIZU, Yuji OINAGA, Hisashige ANDO, Yuichi INADOMI, Koji INOUE, Mutsumi AOYAGI, Kazuaki MURAKAMI
    • Journal Title

      IEICE TRANSACTIONS on Information and Systems

      Volume: Vol.E94-D No.12 Pages: 2298-2308

    • NAID

      10030537851

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 稼働コア数制限に基づくマルチコアプロセッサ性能向上手法の提案2011

    • Author(s)
      今村智史, 福本尚人, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会研究報告

      Volume: 2011-ARC-188(Web)

    • NAID

      110008583217

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] NSIM:将来の大規模相互結合網を対象とした通信シミュレータの開発2010

    • Author(s)
      三輪英樹, 薄田竜太郎, 柴村英智, 平尾智也, 眞木淳, 稲富雄一, 井上弘士, 安島雄一郎, 三吉郁夫, 清水俊幸, 安藤壽茂
    • Journal Title

      情報処理学会研究報告

      Volume: Vol.2010-HPC-125, Np.5 Pages: 1-9

    • NAID

      110007995484

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 命令フェッチ機構の共有に基づく低消費エネルギー化手法の提案2010

    • Author(s)
      上野伸也, 井上弘士, 村上和彰
    • Journal Title

      電子情報通信学会総合大会

      Pages: 108-108

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] マルチコア向けオンチップメモリ貸与法における実行コード生成法の改善2010

    • Author(s)
      福本尚人, 今里賢一, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会研究報告 Vol.2010-ARC-187, No.24(e-pub)

    • NAID

      110007997624

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] パケットペーシングを用いた最適全対全通信アルゴリズムのシミュレーション評価2010

    • Author(s)
      柴村英智, 三輪英樹, 薄田竜太郎, 平尾智也, 安島雄一郎, 三吉郁夫, 清水俊幸, 石畑宏明, 井上弘士
    • Journal Title

      情報処理学会研究報告

      Volume: Vol.2010-HPC-126, No.14 Pages: 1-9

    • NAID

      110007995502

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] クラウド環境によるOpenNSIMインターコネクトシミュレーションサービス2010

    • Author(s)
      柴村英智, 薄田竜太郎, 平尾智也, 吉田真, 神戸隆行, 三輪英樹, 三吉郁夫, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会研究報告

      Volume: Vol.2010-HPC-128, No.15 Pages: 1-9

    • NAID

      110007997697

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 演算器配列型アクセラレータの温度解析2010

    • Author(s)
      花田高彬, 井上弘士, 村上和彰
    • Journal Title

      電子情報通信学会総合大会

      Pages: 109-109

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Mapping Scientific Applications on a Large-Scale Data-Path Accelerator Implemented by Single-Flux Quantum (SFQ) Circuits2009

    • Author(s)
      F.Mehdipour, H.Honda, H.Kataoka, K.Inoue, I.Kataveva, K.Murakami, H.Akaike, A.Fujimaki
    • Journal Title

      Design, Automation & Test in Europe (DATE'10)

      Pages: 993-996

    • NAID

      120006654664

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] ALU-Array based Reconfigurable Accelerator for Energy Efficient Executions (Invited)2009

    • Author(s)
      K.Inoue, H.Noofi, F.Mehdipour, H.Noori, T.Hanada, K.Murakami
    • Journal Title

      International SOC Design Conference (ISOCC'09) (CD-R)

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 適応的ヘルパースレッド実行に基づくマルチコア向け演算/メモリ性能バランシング2009

    • Author(s)
      今里賢一, 福本尚人, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会研究報告 Vol.2009-ARC-183, No.16(e-pub)

    • NAID

      110007995287

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 3次元DRAM-プロセッサ積層実装を対象としたオンチップ・メモリ・アーキテクチャの提案と評価2009

    • Author(s)
      橋口慎哉, 小野貴継, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会研究報告 Vol.2009-ARC-183, No.16(e-pub)

    • NAID

      110007995279

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Rapid Design Space Exploration of a Reconfigurable Instruction-Set Processor2009

    • Author(s)
      F.Mehdipour, H.Noori, K.Inoue, K.Murakami
    • Journal Title

      IEICE Trans.on Fundamentals of Electronics, Communications and Computer Science Vol.E92-A, No.12

      Pages: 3182-3192

    • NAID

      10026861650

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 大規模再構成可能データパスにおける実行前処理削減方式の検討2009

    • Author(s)
      片岡広志, 本田宏明, FarhadMehdipour, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会研究報告 2009-ARC-186(e-pub)

    • NAID

      110007997605

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] 3次元積層が可能にする次世代マイクロプロセッサ・アーキテクチャ(招待)2009

    • Author(s)
      井上弘士
    • Journal Title

      エレクトロニクスにおけるマイクロ接合・実装技術」シンポジウム(MATE2010)

      Pages: 287-292

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Performance Balancing : Software-based On-chip Memory Management for Effective CMP ExecutionsAnalyzing the Impact of Data Prefetching on Chip MultiProcessors2009

    • Author(s)
      N.Fukumoto, K.Imazato, K.Inoue, K.Murakami
    • Journal Title

      Memory Performance Dealing with Applications, Systems, and Architecture (MEDEA'09)

      Pages: 28-34

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Reducing On-Chip DRAM Energy via Data Transfer Size Optimization2009

    • Author(s)
      Takatsugu Ono, Koji Inoue, Kazuaki Murakami, Kento Yoshida
    • Journal Title

      IEICE Transactions on Electronics Vol.E92-C, No.4

      Pages: 433-443

    • NAID

      10026821560

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Developing an Architecture for a Single-Flux Quantum Based Reconfigurable Accelerator2009

    • Author(s)
      F.Mehdipour, H.Honda, H.Kataoka, K.Inoue, K.Murakami
    • Journal Title

      IEICE Technical Report ICD2009-111

      Pages: 99-104

    • NAID

      110008001226

    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Adaptive Cache-Line Size Management on 3D Integrated Microprocessors2009

    • Author(s)
      T.Ono, K.Inoue, K.Murakami
    • Journal Title

      International SOC Design Conference (ISOCC'09) (CD-R)

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Journal Article] Temperature-Aware Configurable Cache to Reduce Energy in Embedded Systems2008

    • Author(s)
      H, Noori・M, Goudarzi・K, Inoue・K, Murakami
    • Journal Title

      IEICE Transactions on Electronics(採録決定) (in press)

    • NAID

      10026817288

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] A Reconfigurable Functional Unit with Conditional Execution for MuIti-Exit Custom Instructions2008

    • Author(s)
      H, Noori・F, Mchdipour・K, Murakami・K, Inoue・M. S., Zamani
    • Journal Title

      IEICE Transactions on Electronics(採録決定) (in press)

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] An Architecture Framework for an Adaptive Extensible Processor2008

    • Author(s)
      H, Noori・F, Mehdipour・K, Murakami・K, Inoue・M.S., Zamani
    • Journal Title

      Journal of Supercomputing, Springer Netherlands(採録済み) (in press)

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] The Effect of Temperature on Cache Size Tuning for Low Energy Embedded Systems2007

    • Author(s)
      H. Noori, M. Goudarzi, K. Inoue, and K. Murakami
    • Journal Title

      The 17th ACM Great Lakes Symposium on VLSI

      Pages: 453-456

    • NAID

      120006655386

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] "Improving Performance and Energy Saving in a Reconfigurable Processor via Accelerating Control Data Flow Graphs, "IEICE Transactions on Electronics"2007

    • Author(s)
      F, Mehdipour・H, Noori・M.S., Zamani・K, Inoue・K, Murakami
    • Journal Title

      IEICE Transactions on Electronics Vol. E90-D,no.12

      Pages: 1956-1966

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] リンク不能性を持つID照会システムの実装に向けて2006

    • Author(s)
      中村 徹, 野原 康伸, 馬場 謙介, 井上 創造, 安浦 寛人
    • Journal Title

      The 2006 Symposium on Cryptography and Information Security (SCIS 2006) (CD-ROM)

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 自動認識におけるプライバシーと個人情報保護技術2006

    • Author(s)
      井上 創造, et. al.
    • Journal Title

      電子情報通信学会誌 189・5

      Pages: 390-394

    • NAID

      110004735699

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Supporting A Dynamic Program Signature : An Intrusion Detection Framework for Microprcessors2006

    • Author(s)
      Koji Inoue et al.
    • Journal Title

      IEEE International Conference on Electronics, Circuits and System

      Pages: 160-163

    • NAID

      120006655381

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] リンク不能性を持つID照合システムの実装に向けて2006

    • Author(s)
      中村 徹, 野原 康伸, 馬場 謙介, 井上 創造, and 安浦 寛人
    • Journal Title

      The 2006 Symposium on Cryptography and Information Security(SCIS 2006) (CD-ROM)

    • NAID

      120006655346

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Lock and Unlock : A Data Management Algorithm for A Security-Aware Cache2006

    • Author(s)
      Koji Inoue et al.
    • Journal Title

      IEEE International Conference on Electronics, Circuits and Systems

      Pages: 1093-1096

    • NAID

      120006655380

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Return Address Protection on Cache Memories2006

    • Author(s)
      K. Inoue
    • Journal Title

      IEICE Transactions on Electronics Vol.E89-C, No.12,

      Pages: 1937-1947

    • NAID

      110007538753

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] General Overview of an Adaptive Dynamic Extensible Processor2006

    • Author(s)
      Hamid Noori, Kazuaki Murakami, Koji Inoue
    • Journal Title

      Proc. of the Workshop on IntroSpective Architectures (掲載予定)

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] キャッシュメモリ中の衰退ラインを利用したメモリ整合性検証の高速化2006

    • Author(s)
      坂口 高宏, 井上 弘士, 村上 和彰
    • Journal Title

      電子情報通信学会技術研究報告 DC2006-2

      Pages: 67-72

    • NAID

      110004822622

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] 演算結果再利用による高信頼かつ低消費電力なプロセッサに関する検討2006

    • Author(s)
      橋口 陽祐, 井上 弘士, 村上 和彰
    • Journal Title

      電子情報通信学会技術研究報告 ICD2006-40

      Pages: 7-12

    • NAID

      110004748897

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] Performance Optimization for Low-Leakage Caches based on Sleep-Line Access Density2006

    • Author(s)
      Reiko Komiya, Koji Inoue, and Kazuaki Murakami
    • Journal Title

      Proc.of the Workshop on 4th Workshop on Optimizations for DSP and Embedded Systems (掲載決定)

    • NAID

      120006654345

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] SupPorting A Dynamic Program Signature:An Intrusion Detection Framework for Microprcessors2006

    • Author(s)
      Koji Inoue, et. al.
    • Journal Title

      IEEE International Conference on Electronics, Circuits and Systems

      Pages: 160-163

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] ystematic Error Detection for RFID Reliability2006

    • Author(s)
      Sozo Inoue, et. al.
    • Journal Title

      Proc. Int'l Conf. Availability, Reliability and Security(ARES)

      Pages: 280-286

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Supporting A Dynamic Program Signature: An Intrusion Detection Framework for Microprcessors2006

    • Author(s)
      K. Inoue
    • Journal Title

      IEEE International Conference on Electronics, Circuits and Systems

      Pages: 160-163

    • NAID

      120006655381

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] User-Computer間の認証に関する考察2006

    • Author(s)
      渡部 貴大, 野原 康伸, 馬場 謙介, 井上 創造, 安浦 寛人
    • Journal Title

      The 2006 Symposium on Cryptography and Information Security (SCIS 2006) (CD-ROM)

    • NAID

      120006655344

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 自動認識におけるプライバシーと個人情報保護技術2006

    • Author(s)
      井上 創造 et al.
    • Journal Title

      電子情報通信学会誌 189・5

      Pages: 390-394

    • NAID

      110004735699

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Return Address Protection on Cache Memories2006

    • Author(s)
      Koji Inoue, et. al.
    • Journal Title

      IEICE Transactions on Electronics E89-C-12

      Pages: 1937-1947

    • NAID

      110007538753

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A RECONFIGURABLE FUNCTIONAL UNIT FOR AN ADAPTIVE DYNAMIC EXTENSIBLE PROCESSOR2006

    • Author(s)
      H. Noori, F. Mehdipour, K. Murakami, K. Inoue, and M. Saheb Zamani
    • Journal Title

      Proc. of 2006 International Conference on Field Programmable Logic and Applications

      Pages: 781-784

    • NAID

      120006655368

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] Lock and Unlock: A Data Management Algorithm for A Security-Aware Cache2006

    • Author(s)
      K. Inoue
    • Journal Title

      IEEE International Conference on Electronics, Circuits and Systems

      Pages: 1093-1096

    • NAID

      120006655380

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] Return Address Protection on Cache Memories2006

    • Author(s)
      Koji Inoue et al.
    • Journal Title

      IEICE Transactions on Electronics E89-C・12

      Pages: 1937-1947

    • NAID

      110007538753

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] General Overview of an Adaptive Dynamic Extensible Processor2006

    • Author(s)
      Hamid Noori, Kazuaki Murakami, and Koai Inoue
    • Journal Title

      Proc.of the Workshop on Introspective Architectures (掲載決定)

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Lock and Unlock : A Data Management Algorithm for A Security-Aware Cache2006

    • Author(s)
      Koji Inoue, et. al.
    • Journal Title

      IEEE International Conference on Electronics, Circuits and Systems

      Pages: 1093-1096

    • NAID

      120006655380

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] チップマルチプロセッサにおけるキャッシュメモリの特性解析2006

    • Author(s)
      三原 智伸, 井上 弘士, 村上 和彰
    • Journal Title

      情報処理学会研究報告 2006-ARC-169

      Pages: 79-84

    • NAID

      110004824132

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] Supporting A Dynamic Program Signature : An Intrusion Detection Framework for Microprcessors2006

    • Author(s)
      Koji Inoue, et. al.
    • Journal Title

      IEEE International Conference on Electronics, Circuits and Systems

      Pages: 160-163

    • NAID

      120006655381

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] General Overview of an Adaptive Dynamic Extensible Processor2006

    • Author(s)
      Hamid Noori, Kazuaki Murakami, Koji Inoue
    • Journal Title

      Proc. of the Workshop on IntroSpective Architectures

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Systematic Error Detection for RFID Reliability2006

    • Author(s)
      Sozo Inoue, et. al.
    • Journal Title

      Proc.It'l Conf.Availability, Reliability and Security(ARES)

      Pages: 280-286

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Lock and Unlock:A Data Management Algorithm for A Security-Aware Cache2006

    • Author(s)
      Koji Inoue, et. al.
    • Journal Title

      IEEE International Conference on Electronic.S, Circuits and Systems

      Pages: 1093-1096

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Performance Optimization for Low-Leakage Caches based on Sleep-Line Access Density2006

    • Author(s)
      Reiko Komiya, Koji Inoue, Kazuaki Murakami
    • Journal Title

      Proc. of the Workshop on 4th Workshop on Optimizations for DSP and Embedded Systems (掲載未定)

    • NAID

      120006654345

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Performance Optimization for Low-Leakage Caches based on Sleep-Line Access Density2006

    • Author(s)
      Reiko Komiya, Koji Inoue, Kazuaki Murakami
    • Journal Title

      Proc. of the Workshop on 4th Workshop on Optimizations for DSP and Embedded Systems

    • NAID

      120006654345

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Systematic Error Detection for RFID Reliability2006

    • Author(s)
      Sozo Inoue et al.
    • Journal Title

      Proc.Int'l Conf.Availability, Reliability and Security (ARES)

      Pages: 280-286

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Custom Instruction Generation Using Temporal Partitioning Techniques for a Reconfigurable Functional Unit2006

    • Author(s)
      F. Mehdipour, H. Noori, M. S. Zamani, K. Murakami, K. Inoue, and M. Sedighi
    • Journal Title

      The 2006 IFIP International Conference on Embedded And Ubiquitous Computing

      Pages: 722-731

    • NAID

      120006655367

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] User-Computer間の認証に関する考察2006

    • Author(s)
      渡部 貴大, 野原 康伸, 馬場 謙介, 井上 創造, and 安浦 寛人
    • Journal Title

      The 2006 Symposium on Cryptography and Information Security(SCIS 2006) (CD-ROM)

    • NAID

      120006655344

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] メモリ・アーキテクチャ・ベンチマーキング手法の提案2006

    • Author(s)
      小野 貴継, 井上 弘士, 村上 和彰
    • Journal Title

      情報処理学会研究報告 2006-EVA-18

      Pages: 1-8

    • NAID

      110004849933

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] Return Address Protection on Cache Memories2006

    • Author(s)
      Koji Inoue, et. al.
    • Journal Title

      IEICE Transactions on Electronics E89-C・12

      Pages: 1937-1947

    • NAID

      110007538753

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 待機ラインへの参照密度に基づく低リーク・キャッシュの高性能化2006

    • Author(s)
      小宮礼子, 井上弘士, 村上和彰
    • Journal Title

      先進的計算基盤システムシンポジウム

      Pages: 3-12

    • NAID

      120006654346

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] An Integrated Temporal Partitioning and Mapping Framework for Handling Custom Instructions on a Reconfigurable Functional Unit'2006

    • Author(s)
      F. Mehdipour, H. Noori, M. S. Zamani, K. Murakami, M. Sedighi, and K. Inoue
    • Journal Title

      Proc. of 11th Asia-Pacific Conference

      Pages: 219-230

    • NAID

      120006655376

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] プログラムの実行経路の偏りに注目した分岐予測法2006

    • Author(s)
      築地 孝典, 井上 弘士, 村上 和彰
    • Journal Title

      電子情報通信学会技術研究報告 ICD2006-40

      Pages: 1-6

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] Adaptive Mode Control for Low-Power Caches based on Way-Prediction Accuracy2005

    • Author(s)
      Hidekazu Tanaka, Koji Inoue
    • Journal Title

      IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences Vol.e88-A, No.12

      Pages: 3274-3281

    • NAID

      110004019427

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] An Adaptive Dynamic Extensible Processor2005

    • Author(s)
      Hamid Noori, Kazuaki Murakami, Koji Inoue
    • Journal Title

      IEICE Technical Report. CPST2005-29 Vol.105, No.453

      Pages: 13-18

    • NAID

      110003486812

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A Cost Effective Spatial Redundancy with Data-Path Partitioning2005

    • Author(s)
      Sigeharu Matsusaka and Koji Inoue
    • Journal Title

      Proc.Of the International Conference on Information Technology and Applications

      Pages: 51-56

    • NAID

      120006655330

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 実行の振舞いを鍵情報とする不正プログラムの動的検出方式2005

    • Author(s)
      井上弘士
    • Journal Title

      情報処理学会研究報告 2005-ARC-164

      Pages: 25-30

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] An Adaptive Dynamic Extensible Processor2005

    • Author(s)
      Hamid Noori, Kazuaki Murakami, Koji Inoue
    • Journal Title

      IEICE Technical Report, CPSY2005-29 Vol.105, No.453

      Pages: 13-18

    • NAID

      110003486812

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] バッファ・オーバフロー検出を目的としたセキュア・キャッシュの性能/消費電力解析2005

    • Author(s)
      井上 弘士
    • Journal Title

      電子情報通信学会技術研究報告(ICD) Vol.ICD2005-188

      Pages: 43-48

    • NAID

      110003493780

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Quantitative Evaluation of State-Preserving Leakage Reduction Algorithm for L1 Data Cashes2005

    • Author(s)
      Reiko KomiYa, Koji Inoue, Vasily G.Moshnyaga, and Kaauaki Murakami
    • Journal Title

      IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences Vol.E88-A,No.9

      Pages: 862-868

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] キャッシュ・ミス頻発ロード命令の特徴解析2005

    • Author(s)
      三輪英樹, 堂後靖博, 井上弘士, 村上和彰
    • Journal Title

      情報処理学会研究報告,2005-ARC-165

      Pages: 1-6

    • NAID

      110003482869

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A Cast Effective Spatial Redundancy with Data-Path Partitioning2005

    • Author(s)
      Sigeharu Matsusaka, Koji Inoue
    • Journal Title

      Proc. Of the International Conference on Information Technology and Applications

      Pages: 51-56

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 待機ラインへの参照密度に基づく低リーク・キャッシュの動的制御2005

    • Author(s)
      小宮 礼子, 井上 弘士, 村上 和彰
    • Journal Title

      情報処理学会研究報告 2005-ARC-164

      Pages: 73-78

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A Cost Effective Spatial Redundancy with Data-Path Partitioning2005

    • Author(s)
      Sigeharu Matsusaka, Koji Inoue
    • Journal Title

      Proc. Of the International Conference on Information Technology and Applications

      Pages: 51-56

    • NAID

      120006655330

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Generating Secure Session Kyes from Shared Secret Information for Multi-Application IC-card Systems2005

    • Author(s)
      Uddin Mohammad Mesbah, Tatsuya Mori, Hiroto Yasuura, and Koii Inoue
    • Journal Title

      CD Proc.Of the Asia and South Pacific International Conference on Embedded SoCs(ASPICESO5) (CD-ROM)

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A Processor architecture Protecting Secret Data from Hostile Software2005

    • Author(s)
      Tatsuya Mori, Hiroto Yasuura, Koji Inoue
    • Journal Title

      CD Proc. Of the Asia and South Pacific International Conference on Embedded SoCs (ASPICES05) (CD-ROM)

    • NAID

      120006655331

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Quantitative Evaluation of State-Preserving Leakage Reduction Algorithm for L1 Data Cashes2005

    • Author(s)
      Reiko Komiya, Koji Inoue, Vasily G. Moshnyaga, Kazuaki Murakami
    • Journal Title

      IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences Vol.E88-A, No.4

      Pages: 862-868

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] バッファ・オーバフロー検出を目的としたセキュア・キャッシュの性能/消費電力解析2005

    • Author(s)
      井上弘士
    • Journal Title

      電子情報通信学会技術研究報告 Vol.ICD2005-188

      Pages: 43-48

    • NAID

      110003493780

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] Adaptive Mode Control for Low-Power Caches based on Way-Prediction Accuracy2005

    • Author(s)
      Hidekazu Tanaka and Koji Inoue
    • Journal Title

      IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences Vol.E88-A,No.12

      Pages: 3274-3281

    • NAID

      110004019427

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A Processor Architecture Protecting Secret Data from Hostile Software2005

    • Author(s)
      Tatsuya Mori, Hiroto Yasuura, Koji Inoue
    • Journal Title

      CD Proc. Of the Asia and South Pacific International Conference on Embedded SoCs(ASPICES05)

    • NAID

      120006655331

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 分子軌道計算向け専用プロセッサ(ERIC)の開発2005

    • Author(s)
      中村 健太, 本田 宏明, 井上 弘士, and 村上 和彰
    • Journal Title

      電子情報通信学会技術研究報告(ICD) Vol.ICD2005-188

      Pages: 31-36

    • NAID

      110003493778

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Quantitative Evaluation of Unlinkable ID Matching Schemes2005

    • Author(s)
      Yasunobu Noharam, Sozo Inoue, Kensuke Baba, and Hiroto Yasuura
    • Journal Title

      Proc.of the 2005 ACM Workshop on Privacy in the Electronic Society

      Pages: 55-60

    • NAID

      120006655341

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] AkimotoAn RFID-based Multi-service System for Supporting Conference Events2005

    • Author(s)
      Takahiro Watanabe, Sozo Inoue, Hiroto Yasuura, Jun Sasaki, Yasushi Aoki, Kazumi
    • Journal Title

      Int' l Conf. Active Media Technology(AMT)

      Pages: 435-439

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] RFID情報システムにおけるシステムレベルでの信頼性向上2005

    • Author(s)
      萩原 大輔, 井上 創造, and 安浦 寛人
    • Journal Title

      情報処理学会論文誌:データベース Vol.46,No.SIG8(TOD26)

      Pages: 37-47

    • NAID

      110002768777

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] AkimotoAn RFID-based Multi-service System for Supporting Conference Events2005

    • Author(s)
      Takahiro Watanabe, Sozo Inoue, Hiroto Yasuura, Jun Sasaki, Yasushi Aoki, Kazumi
    • Journal Title

      Int'l Conf. Active Media Technology(AMT)

      Pages: 435-439

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Generating Secure Session Kyes from Shared Secret Information for Multi-Application IC-card Systems2005

    • Author(s)
      Uddin Mohammad Mesbah, Tatsuya Mori, Hiroto Yasuura, Koji Inoue
    • Journal Title

      CD Proc. Of the Asia and South Pacific International Conference on Embedded SoCs (ASPICES05) (CD-ROM)

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 分子軌道計算向け専用プロセッサ(ERIC)の開発2005

    • Author(s)
      中村 健太, 本田 宏明, 井上 弘士, 村上 和彰
    • Journal Title

      電子情報通信学会技術研究報告(ICD) Vol.ICD2005-188

      Pages: 31-36

    • NAID

      110003493778

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] AkimotoAn RFID-based Multi-service System for Supporting Conference Events2005

    • Author(s)
      Takahiro Watanabe, Sozo Inoue, Hiroto Yasuura, Jun Sasaki, Yasushi Aoki, and Kazumi
    • Journal Title

      Int'1 Conf.Active Media Technology(AMT)

      Pages: 435-439

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] キャッシュ・ミス頻発ロード命令の特徴解析2005

    • Author(s)
      三轍 英樹, 堂後 靖博, 井上 弘士, and 村上 和彰
    • Journal Title

      情報処理学会研究報告, 2005-ARC-165

      Pages: 1-6

    • NAID

      110003482869

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Adaptive Mode Control for Low-Power Caches based on Way-Prediction Accuracy2005

    • Author(s)
      Hidekazu Tanaka, Koji Inoue
    • Journal Title

      IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences Vol.E88-A, No.12

      Pages: 3274-3281

    • NAID

      110004019427

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] RFID情報システムにおけるシステムレベルでの信頼性向上2005

    • Author(s)
      萩原 大輔, 井上 創造, 安浦 寛人
    • Journal Title

      情報処理学会論文誌 : データベース Vol.46, No.SIG8 (TOD26)

      Pages: 37-47

    • NAID

      110002768777

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Secure Cache : Run-Time Detection and Prevention of Buffer Overflow Attacks2005

    • Author(s)
      Koji Inoue
    • Journal Title

      CD Proc. of the Asia and South Pacific International Conference on Embedded SoCs(ASPICES05)

    • NAID

      120006655329

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 常活性ラインに基づく高性能/低リーク・キャッシュの消費エネルギー評価2005

    • Author(s)
      小宮 礼子, 井上 弘士, and 村上 和彰
    • Journal Title

      電子情報通信学会技術研究報告(ICD) Vol.ICD2005-188

      Pages: 37-42

    • NAID

      110003493779

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Secure Cache : Run-Time Detection and Prevention of Buffer Overflow Attacks2005

    • Author(s)
      K.Inoue
    • Journal Title

      Proc.of the Asia and South Pacific International Conference on Embedded SoCs (CD予稿集)

    • NAID

      120006655329

    • Data Source
      KAKENHI-PROJECT-17680005
  • [Journal Article] Quantitative Evaluation of Unlinkable ID Matching Schemes2005

    • Author(s)
      Yasunobu Nohara, Sozo Inoue, Kensuke Baba, Hiroto Yasuura
    • Journal Title

      Proc. of the 2005 ACM Workshop on Privacy in the Electronic Society

      Pages: 55-60

    • NAID

      120006655341

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Secure Cache : Run-Time Detection and Prevention of Buffer Overflow Attacks2005

    • Author(s)
      Koji Inoue
    • Journal Title

      CD Proc. of the Asia and South Pacific International Conference on Embedded SoCs (ASPICES05) (CD-ROM)

    • NAID

      120006655329

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A Processor Architecture Protecting Secret Data from Hostile Software2005

    • Author(s)
      Tatsuya Mori, Hiroto Yasuura, and Koji Inoue
    • Journal Title

      CD Proc.Of the Asia and South Pacific International Conference on Embedded SoCs(ASPICESO5) (CD-ROM)

    • NAID

      120006655331

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Generating Secure Session Kyes from Shared Secret Information for Multi-Application IC-card Systems2005

    • Author(s)
      Uddin Mohammad Mesbah, Tatsuya Mori, Hiroto Yasuura, Koji Inoue
    • Journal Title

      CD Proc. Of the Asia and South Pacific International Conference on Embedded SoCs(ASPICES05)

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 常活性ラインに基づく高性能/低リーク・キャッシュの消費エネルギー評価2005

    • Author(s)
      小宮 礼子, 井上 弘士, 村上 和彰
    • Journal Title

      電子情報通信学会技術研究報告(ICD) Vol.ICD2005-188

      Pages: 37-42

    • NAID

      110003493779

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 実行の振る舞いを鍵情報とする不正プログラムの動的研出方法2005

    • Author(s)
      井上 弘士 and 岩佐 崇史
    • Journal Title

      情報処理学会研究報告 2005-ARC-164

      Pages: 25-30

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] An Adaptive Dymanic Extensible Processor2005

    • Author(s)
      Hamid Noori, Kazuaki Murakami, and Koji Inoue
    • Journal Title

      IEICE Technical Report, CPSY2005-29 Vol.105,No.453

      Pages: 13-18

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Secure Cache:Run-Time Detection and Prevention of Buffer Overflow Attacks2005

    • Author(s)
      Koii Inoue
    • Journal Title

      CD Proc.of the Asis and South Pacific International Conference on Embedded SoCs (ASPICE05) (CD-ROM)

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 待機ラインへの参照密度に基づく低リーク・キャッシュの動的制御2005

    • Author(s)
      小宮 礼子, 井上 弘士, and 村上 和彰
    • Journal Title

      情報処理学会研究報告 2005-ARC-169

      Pages: 73-78

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 実行の振る舞いを鍵情報とする不正プログラムの動的研出方法2005

    • Author(s)
      井上 弘士, 岩佐 崇史
    • Journal Title

      情報処理学会研究報告 2005-ARC-164

      Pages: 25-30

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A Systematic Approach for the Reliability of RFID Systems2004

    • Author(s)
      Sozo Inoue, et. al.
    • Journal Title

      Proc. IEEE TENCON

      Pages: 183-186

    • NAID

      120006655317

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A low-power cache with tag comparison reuse2004

    • Author(s)
      K.Inoue, H.Tanaka, V.G.Moshnyaga, K.Murakami
    • Journal Title

      Proceedings of the International Symposium on System-On-Chip

      Pages: 61-67

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] A Low Power I-Cache Design with Tag-Comparison Reuse2004

    • Author(s)
      Koji Inoue
    • Journal Title

      Proc.of the The International Symposium on System-On-Chip (SOC04)

      Pages: 61-67

    • NAID

      120006655316

    • Data Source
      KAKENHI-PROJECT-14702064
  • [Journal Article] バッファ・オーバフロー・アタックを動的に検出するセキュア・キャッシュ-安全性と消費エネルギーのトレードオフ-2004

    • Author(s)
      井上弘士
    • Journal Title

      先進的計算基盤システムシンポジウム(SACSIS2004)

      Pages: 315-323

    • NAID

      120006655293

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 不正プログラムの実行防止を目的とするオンチップ・キャッシュ・アーキテクチャ2004

    • Author(s)
      井上 弘士
    • Journal Title

      並列/分散/協調処理に関するサマー・ワークショップ(SoPPO4), 情報処理学会研究報告2004-ARC-159

      Pages: 121-126

    • NAID

      110002774659

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A Low Power I-Cache Design with Tag-Comparison Reuse2004

    • Author(s)
      K.Inoue, H.Tanaka, V.G.Moshnyaga, K.Murakami
    • Journal Title

      Proceedings of the International Symposium on System-On-Chip (SOC04)

      Pages: 148-153

    • NAID

      120006655316

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] 情報の効果的利用と個人情報保護を同時に実現する新しい技術PIDの提案2004

    • Author(s)
      井上 創造
    • Journal Title

      第2回九州大学システムLSI研究センターワークショップ予稿集 (印刷中)

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] タグ比較再利用による低消費電力命令キャッシュの設計と評価2004

    • Author(s)
      田中秀和, 井上弘士, モシニャガワシリー, 村上和彰
    • Journal Title

      第17回 回路とシステム(軽井沢)ワークショップ

      Pages: 229-234

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] A Low Power I-Cache Design with Tag-Comparison Reuse2004

    • Author(s)
      Koji Inoue, et al.
    • Journal Title

      Proc.of the The International Symposium on System-On-Chip (SOC04)

      Pages: 61-67

    • NAID

      120006655316

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A Low Power I-Cache Design with Tag-Comparison Reuse2004

    • Author(s)
      Koji Inoue, et. al.
    • Journal Title

      Proc. of the The International Symposium on System-On-Chip(SOC04)

      Pages: 61-67

    • NAID

      120006655316

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 情報の効果的利用と個人情報保護を同時に実現する新しい技術PIDの提案2004

    • Author(s)
      井上 創造
    • Journal Title

      第2回九州大学システムLSI研究センターワークショップ予稿集

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] バッファ・オーバフロー・アタックを動的に検出するセキュア・ッシュー安全性と消費エネルギーのトレードオフー2004

    • Author(s)
      井上 弘士
    • Journal Title

      先進的計算基盤システムシンポジウム(SACSIS2004)

      Pages: 315-323

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 社会に受け入れられるためのRFIDシステム2004

    • Author(s)
      井上 創造
    • Journal Title

      日本学術癩会シリコン超集積化システム第165委員会第33回研究会

      Pages: 73-78

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Energy-Security Tradeoff in a Secure Cache Architecture Against Buffer Overflow Attacks2004

    • Author(s)
      Koji Inoue
    • Journal Title

      Proc. of the The International Workshop on Architectural Support for Security and Anti-Virus(WASSA04)

      Pages: 77-85

    • NAID

      120006655313

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Energy-Security Tradeoff in a Secure Cache Architecture Against Buffer Overflow Attacks2004

    • Author(s)
      Koji Inoue
    • Journal Title

      Proc.of the The International Workshop on Archite ctural Support for Security and Anti-Virus(WASSAO4)

      Pages: 77-85

    • NAID

      120006655313

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 不正プログラムの実行防止を目的とするオンチップ・キャッシュ・アーキテクチャ2004

    • Author(s)
      井上 弘士
    • Journal Title

      並列/分散/協調処理に関するサマー・ワークショップ(SWoPP04),情報処理学会研究報告2004-ARC-159

      Pages: 121-126

    • NAID

      110002774659

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Energy-Security Tradeoff in a Secure Cache Architecture Against Buffer Overflow Attacks2004

    • Author(s)
      Koji Inoue
    • Journal Title

      Proc.of the The International Workshop on Architectural Support for Security and Anti-Virus (WASSA04)

      Pages: 77-85

    • NAID

      120006655313

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] タグ比較再利用による低消費電力命令キャッシュの設計と評価2004

    • Author(s)
      田中秀和, 井上弘士, モシニャガワシリー, 村上和彰
    • Journal Title

      第17回回路とシステム(軽井沢)ワークショップ

      Pages: 229-234

    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] A Systematic Approach for the Reliability of RFID Systems2004

    • Author(s)
      Sozo Inoue, et. al.
    • Journal Title

      Proc.IEEE TENCON 2004

      Pages: 183-186

    • NAID

      120006655317

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A Systematic Approach for the Reliability of RFID Systems2004

    • Author(s)
      Sozo Inoue, et al.
    • Journal Title

      Proc.IEEE TENCON 2004

      Pages: 183-186

    • NAID

      120006655317

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] 社会に受け入れられるためのRFIDシステム2004

    • Author(s)
      井上 創造
    • Journal Title

      日本学術振興会シリコン超集積化システム第165委員会第33回研究会予稿集

      Pages: 73-78

    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] [Invited Paper]Digital Naming:Social System Infrastructure for Next Generation of RFID Information Systems2003

    • Author(s)
      Sozo Inoue
    • Journal Title

      Proc.Int'l Conf.Circuits/Systems, Computers and Communications(ITC-CSCC)

      Pages: 812-815

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Reducing DCT operations based on zero value prediction2003

    • Author(s)
      Y.Nishida, K.Inoue, V.G.Moshnyaga
    • Journal Title

      Proceedings of the 16 Workshop on Circuits and Systems in Karuizawa

      Pages: 147-152

    • NAID

      120006655410

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] Instruction Encoding for Reducing Power Consumption of I-ROMs Based on Execution Locality2003

    • Author(s)
      Koji Inoue, et. al.
    • Journal Title

      IEICE TRANS. FUNDAMENTALS 86-A-4

      Pages: 799-805

    • NAID

      110003221125

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] [招待論文IRFIDを用いたデジタルネーミング社会とそのセキュリティ技術2003

    • Author(s)
      井上 創造, ほか
    • Journal Title

      電子情報通信学会技術研究報告(回路とシステム) 103・142

      Pages: 73-78

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Design of a low-power instruction cache with tag comparison reuse2003

    • Author(s)
      H.Tanaka, K.Inoue, V.G.Moshnyaga, K.Murakami
    • Journal Title

      Proceedings of the 17 Workshop on Circuits and Systems in Karuizawa

      Pages: 147-152

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] A zero-value prediction technique for fast DCT computation2003

    • Author(s)
      Y.Nishida, K.Inoue, V.G.Moshnyaga
    • Journal Title

      Proceedings of the 2003 IEEE Workshop on Signal Processing Systems

      Pages: 27-29

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] Instruction encoding for reducing energy consumption of I-ROMs based on execution locality2003

    • Author(s)
      K.Inoue, V.G.Moshnyaga, K.Murakami
    • Journal Title

      IEICE Transactions on Electronics Vol.E86-C

      Pages: 799-805

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] [Invited Paper] Digital Naming : Social System Infrastructure for Next Generation of RFID Information Systems2003

    • Author(s)
      Sozo Inoue
    • Journal Title

      Proc. Int'l Conf. Circuits/Systems, Computers and Communications(ITC-CSCC)

      Pages: 812-815

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] RFID Privacy Using User-Controllable Uniqueness2003

    • Author(s)
      Sozo Inoue, et. al.
    • Journal Title

      RFID Privacy Workshop

    • NAID

      120006655275

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Reducing access count to register files through operand reuse2003

    • Author(s)
      H.Takamura, K.Inoue, V.G.Moshnyaga
    • Journal Title

      Advances in Computer Systems Architecture, Asia-Pacific Conference Proceedings (Springer, Berlin) LNCS 2823

      Pages: 112-121

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] Instruction Encoding for Reducing Power Consumption of I-ROMs Based on Execution Locality2003

    • Author(s)
      Koji Inoue, et. al.
    • Journal Title

      IEICE TRANS.FUNDAMENTALS 86-A・4

      Pages: 799-805

    • NAID

      110003221125

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] RFIDによるイベント支援マルチサービスシステム「RICA」の実証実験2003

    • Author(s)
      井上 創造, ほか
    • Journal Title

      マルチメディア, 分散, 協調とモバイル(DICOMO2003)シンポジウム

      Pages: 277-280

    • NAID

      120006655267

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Reducing Power Consumption of Instruction ROMs by Exploiting Instruction Frequency2002

    • Author(s)
      K. Inoue, V. Moshnyaga, K. Murakami
    • Journal Title

      Proc. of 2002 IEEE ASIA Pacific Conference on Circuits And Systems(APCCAS'02)

    • NAID

      120006655248

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Reducing energy consumption of video memory by bit-width compression2002

    • Author(s)
      V.G.Moshnyaga, K.Inoue, M.Fukagawa
    • Journal Title

      Proceedings of the 2002 ACM/IEEE International Symposium on Low Power Electronics and Design

      Pages: 142-147

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] A History-Based I-Cache for Low-Energy Multimedia Applications2002

    • Author(s)
      K. Inoue, V. Moshnyaga, K. Murakami
    • Journal Title

      Proc. of 2002 International Symposium on Low Power Electronics and Design(ISLPED'02)

      Pages: 148-153

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A history based I-cache for low-energy multimedia applications2002

    • Author(s)
      K.Inoue, V.G.Moshnyaga, K.Murakami
    • Journal Title

      Proceedings of the 2002 ACM/IEEE International Symposium on Low Power Electronics and Design

      Pages: 148-153

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] Reducing Power Consumption of Instruction ROMs by Exploiting Instruction Frequency2002

    • Author(s)
      K.Inoue, V.Moshnyaga, and K.Murakami
    • Journal Title

      Proc.of 2002 IEEE ASIA Pacific Conference on Circuits And Systems(APGCAS'02)

    • NAID

      120006655248

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Register file access reduction by data reuse2002

    • Author(s)
      H.Takamura, K.Inoue, V.GMoshnyaga
    • Journal Title

      Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation (Springer) LNCS2451

      Pages: 278-288

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] A Low Energy Set-Associative I-Cache with Extended BTB2002

    • Author(s)
      K.Inoue, V.Moshnyaga, and K.Murakami
    • Journal Title

      Proc.of 2002 International Conference on Computer Design(ICCD'02)

      Pages: 187-192

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Privacy in the Digitally Named World with RFID Tags2002

    • Author(s)
      Sozo Inoue, Shin'ichi Konomi, Hiroto Yasuura
    • Journal Title

      Workshop on Socially-informed Design of Privacy-enhancing Solutions in Ubiquitous Computing

    • NAID

      120006655160

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A History-Based I-Cache for Low-Energy Multimedia Applications2002

    • Author(s)
      K.Inoue, V.Moshnyaga, and K.Murakami
    • Journal Title

      Proc.of 2002 International Symposium on Low Power Electronics and Design(ISLPED'02)

      Pages: 148-153

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] A low energy set-associative I-cache with extended BTB2002

    • Author(s)
      K.Inoue, V.G.Moshnyaga, K.Murakami
    • Journal Title

      Proceedings of the IEEE Int. Conference on Computer Design

      Pages: 187-192

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14580399
  • [Journal Article] A Low Energy Set-Associative I-Cache with Extended BTB2002

    • Author(s)
      K. Inoue, V. Moshnyaga, K. Murakami
    • Journal Title

      Proc. of 2002 International Conference on Computer Design(ICCD'02)

      Pages: 187-192

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] RFIDタグを用いた安全で効率の良いデジタルネーミング社会について2002

    • Author(s)
      井上 創造, 安浦 寛人
    • Journal Title

      九州大学大学院システム情報科学紀要 7・2

      Pages: 131-137

    • NAID

      110000580021

    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Journal Article] Privacy in the Digitally Named World with RFID Tags

    • Author(s)
      Sozo Inoue, Shin'ichi Konomi, Hiroto Yasuura
    • Journal Title

      Workshop on Socially-informed Design of Privacy-enhancing Solutions in Ubiquitous Computing

    • NAID

      120006655160

    • Description
      「研究成果報告書概要(欧文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Patent] RFIDタグと監視基地局, タグ管理サーバ, ネームサーバ, 物品探索装置, およびRFIDタグ監視システム2002

    • Inventor(s)
      井上 創造 安浦 寛人
    • Industrial Property Rights Holder
      鎚水 恭史
    • Filing Date
      2002-09-05
    • Description
      「研究成果報告書概要(和文)」より
    • Data Source
      KAKENHI-PROJECT-14GS0218
  • [Presentation] 分野間連携で世界にはばたく研究を! ~若手研究者の皆さんへのメッセージ~2023

    • Author(s)
      井上弘士
    • Organizer
      d-lab-VDECデザイナーズフォーラム2023
    • Invited
    • Data Source
      KAKENHI-PROJECT-22H05000
  • [Presentation] Next Generation Cryogenic Superconductor Computing ~From Classical to Quantum~2023

    • Author(s)
      Koji Inoue
    • Organizer
      IEEE Symposium on Low-Power and High-Speed Chips and Systems (COOL Chips)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H05000
  • [Presentation] Ultra-High-Speed, Low-Power Superconductor Computing with Architectural Optimization2023

    • Author(s)
      Koji Inoue
    • Organizer
      The 11th East Asia Symposium on Superconductor Electronics
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H05000
  • [Presentation] Superconductor-based computer design and modeling tools2022

    • Author(s)
      Koji Inoue
    • Organizer
      Tutorial: Full-Stack Simulation Framework for Cryogenic, Superconductor, and Fault-Tolerant Quantum Computing
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H05000
  • [Presentation] Exploring Next Generation Computing Platform: from Edge to Cloud2022

    • Author(s)
      Koji Inoue
    • Organizer
      20th International Forum on Multicore and Multiprocessor SoCs
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H05000
  • [Presentation] 単一磁束量子回路に基づくゲートレベルパイプライン浮動小数点演算器の動作実証2022

    • Author(s)
      長岡一起, 加島亮太, 田中雅光, 川上哲志, 谷本輝夫, 山下太郎, 井上弘士, 藤巻朗
    • Organizer
      2022年電子情報通信学会総合大会
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] The Road to Superconductor Computing with SFQ Devices2022

    • Author(s)
      Koji Inoue
    • Organizer
      Workshop on Superconducting Digital Computing Architecture Research
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-22H05000
  • [Presentation] Towards Extremely High-Speed, Low-Power Cryogenic Superconductor Computing2022

    • Author(s)
      Koji Inoue
    • Organizer
      CRNCH (Center for Research into Novel Computing Hierarchies) Summit
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] Design of Variable Bit-Width Arithmetic Unit Using Single Flux Quantum Device2022

    • Author(s)
      Iori Ishikawa, Ikki Nagaoka, Ryota Kashima, Koki Ishida, Kosuke Fukumitsu, Keitaro Oka, Masamitsu Tanaka, Satoshi Kawakami, Teruo Tanimoto, Takatsugu Ono, Akira Fujimaki, Koji Inoue
    • Organizer
      he IEEE International Symposium on Circuits and Systems (ISCAS)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] 超伝導ニューラルネットワーク・アクセラレータのアーキテクチャ探索を目的とした電力性能モデリング2021

    • Author(s)
      石田浩貴, IlkwonByun, 長岡一起, 福光孝介, 田中雅光, 川上哲志, 谷本輝夫, 小野貴継, 藤巻朗, Jangwoo Kim, 井上弘士
    • Organizer
      情報処理学会 236回システム・アーキテクチャ研究会
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] Ultra-Fast, Low-Power Neural Network Computing with Superconductor Devices2021

    • Author(s)
      Koji Inoue
    • Organizer
      Brain-Inspired Computing: Physics, Architectures, Materials, and Applications
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] Challenges in Ultra-High-Performance Low-Power Computing towards the Post Moore Era ~ A Computer Architecture Perspective ~2021

    • Author(s)
      Koji Inoue
    • Organizer
      26th Asia and South Pacific Design Automation Conference ASP-DAC 2021
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] 単一磁束量子回路によるビット幅可変加減算器の設計と評価2021

    • Author(s)
      石川伊織, 長岡 一起, 石田浩貴, 福光孝介, 岡慶太郎, 田中雅光, 川上哲志, 谷本輝夫, 小野貴継, 藤巻朗, 井上 弘士
    • Organizer
      情報処理学会システムアーキテクチャ研究会
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] 近未来のコンピューティング像を探る!2021

    • Author(s)
      井上弘士
    • Organizer
      産総研 IMPULSE コンソーシアム 2020年度第4回セミナー
    • Invited
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] 単一磁束量子回路に基づくゲートレベルパイプライン浮動小数点演算器の設計2021

    • Author(s)
      長岡一起, 加島亮太, 田中雅光, 山下太郎, 川上哲志, 井上弘士, 藤巻朗
    • Organizer
      2021年電子情報通信学会ソサイエティ大会
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] Towards Ultra-High-Speed Superconductor Computing ~ Computer Architecture Perspective ~2021

    • Author(s)
      Koji Inoue
    • Organizer
      the 33rd International Superconductivity Symposium (ISS2020)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] SuperNPU: An Extremely Fast Neural Processing Unit Using Superconducting Logic Devices2020

    • Author(s)
      K. Ishida, I. Byun, I. Nagaoka, K. Fukumitsu, M. Tanaka, S. Kawakami, T. Tanimoto, T. Ono, J. Kim, and K. Inoue
    • Organizer
      53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] 32 GHz 6.5 mW gate-level-pipelined 4-bit processor using superconductor single-flux-quantum logic2020

    • Author(s)
      K. Ishida, M. Tanaka, I. Nagaoka, T. Ono, S. Kawakami, T. Tanimoto, A. Fujimaki, and K. Inoue
    • Organizer
      2020 IEEE Symposium on VLSI Circuits
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] アーキテクチャ探索を目的とした単一磁束量子回路の電力効率モデリング2020

    • Author(s)
      福光孝介, 石田浩貴, 長岡一起, 田中雅光, 川上哲志, 谷本輝夫, 小野貴継, 藤巻朗, 井上弘士
    • Organizer
      情報処理学会研究報告, Vol.2020-ARC-242 No.5, pp.1-7
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] Demonstration of a 52-GHz, energy-efficient, bit-parallel multiplier using low-voltage rapid single-flux-quantum logic2020

    • Author(s)
      I. Nagaoka, K. Ishida, M. Tanaka, K. Sano, T. Yamashita, T. Ono, K. Inoue, and A. Fujimaki
    • Organizer
      Applied Superconductivity Conference (ASC 2020)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] 革新的コンピューティングの実現に向けた新デバイス技術への期待2020

    • Author(s)
      井上弘士
    • Organizer
      第一回 阪大スピンセンター異分野交流研究会
    • Invited
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] 単一磁束量子ゲートレベルパイプラインマイクロプロセッサに向けた30GHzデータパスの開発2019

    • Author(s)
      長岡一起, 畑中湧貴, 松井裕一, 石田浩貴, 田中雅光, 佐野京佑, 山下太郎, 小野貴継, 井上弘士, 藤巻朗
    • Organizer
      超伝導エレクトロニクス研究会
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] Towards Ultra High-Speed Superconducting Computing2019

    • Author(s)
      K. Inoue
    • Organizer
      the 19th International Forum on Embedded MPSoC and Multicore
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] 次世代超伝導コンピューティング2019

    • Author(s)
      井上弘士
    • Organizer
      応用物理学会
    • Invited
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] 革新的コンピューティングの創生に向けて~量的変化から質的変化へ~2019

    • Author(s)
      井上弘士
    • Organizer
      IPSJ連続セミナー
    • Invited
    • Data Source
      KAKENHI-PROJECT-19H01105
  • [Presentation] Prototype Design of 31 GHz Single-Flux-Quantum Gate-Level-Pipelined Microprocessor2019

    • Author(s)
      Koki Ishida, Masamitsu Tanaka, Takatsugu Ono, and Koji Inoue
    • Organizer
      Superconductive SFQ VLSI Workshop
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] Design and Evaluation of superconducting nano-structured line drivers for Josephson-CMOS hybrid memory2019

    • Author(s)
      K. Sano, K. Maruyama, M. Tanaka, T. Yamashita, M. Inoue, and A. Fujimaki
    • Organizer
      12th Superconducting SFQ VLSI Workshop
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] ビット並列演算ゲートレベルパイプラインを用いた単一磁束量子乗算器における高周波動作の評価2019

    • Author(s)
      長岡一起, 田中雅光, 佐野京佑, 山下太郎, 井上弘士, 藤巻朗
    • Organizer
      電子情報通信学会2019年総合大会
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] A 48GHz 5.6mW gate-level-pipelined multiplier using single-flux quantum logic2019

    • Author(s)
      I. Nagaoka, M. Tanaka, K. Inoue, and A. Fujimaki
    • Organizer
      IEEE International Solid-State Circuits Conference (ISSCC 2019)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] 1-Gb Josephson-CMOSハイブリッドメモリに向けた超伝導ナノ構造ラインドライバの検討2019

    • Author(s)
      佐野京佑, 丸山晃平, 田中雅光, 山下太郎, 井上真澄, 藤巻朗
    • Organizer
      第65回応用物理学会春季学術講演会
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] Prototype Design of 30 GHz Superconducting Single-Flux-Quantum Microprocessor Towards Cryogenic General Purpose Computing2018

    • Author(s)
      Koki Ishida, Masamitsu Tanaka, Takatsugu Ono, and Koji Inoue
    • Organizer
      The 52nd International Symposium on Microarchitecture, Student Research Competition
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] 単一磁束量子回路とナノクライオトロンのモノリシック化の検討2018

    • Author(s)
      丸山晃平, 佐野京佑, 田中雅光, 山下太郎, 井上真澄, 藤巻朗
    • Organizer
      第79回応用物理学会秋季学術講演会
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] 単一磁束量子回路を用いた4ビットゲートレベルパイプライン・プロセッサの設計と評価2018

    • Author(s)
      石田浩貴,田中雅光,小野貴継,井上弘士
    • Organizer
      第223回システム・アーキテクチャ研究会
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] 表面障壁の制御による超伝導三端子素子の可能性2018

    • Author(s)
      佐野京佑, 鈴木雅斗, 丸山晃平, 近藤真生, 田中雅光, 山下太郎, 井上真澄, 藤巻朗表
    • Organizer
      第96回低温工学・超電導学会
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] Superconducting nano-structured line drivers in Josephson-CMOS hybrid memory2018

    • Author(s)
      K. Sano, K. Maruyama, N. Kondo, M. Tanaka, T. Yamashita, M. Inoue, and A. Fujimaki
    • Organizer
      13th International Workshop on Low Temperature Electronics
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] 30-GHz operation of datapath for bit-parallel, gate-level-pipelined rapid single-flux-quantum microprocessors2018

    • Author(s)
      M. Tanaka, Y. Hatanaka, Y. Matsui, I. Nagaoka, K. Ishida, K. Sano, T. Yamashita, T. Ono, K. Inoue, and A. Fujimaki
    • Organizer
      2018 Appl. Supercond. Conf.
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] 単一磁束量子回路に基づくゲートレベル・パイプライン算術論理演算器の設計とエネルギー効率評価2018

    • Author(s)
      田中雅光, 石田浩貴, 長岡一起, 村瀬健, 佐野京佑, 小野貴継, 井上弘士, 藤巻朗
    • Organizer
      第224回システム・アーキテクチャ研究会
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] Time-Domain Neural Network with Superconducting Single-Flux-Quantum Devices2018

    • Author(s)
      Tatsuya Hoshino and Koji Inoue
    • Organizer
      The 52nd International Symposium on Microarchitecture, Student Research Competition
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] 50 GHz動作を目指した単一磁束量子並列算術論理演算回路2017

    • Author(s)
      佐藤諒, 畑中湧貴, 松井祐一, 田中雅光, 赤池宏之, 藤巻朗, 井上弘士
    • Organizer
      電子情報通信学会2017年総合大会
    • Place of Presentation
      名古屋, 日本
    • Year and Date
      2017-03-23
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] Design of arithmetic logic ALU toward single-flux-quantum gate- level-pipelined microprocessor2017

    • Author(s)
      M. Tanaka, R. Sato, Y. Hatanaka, Y. Matsui, H. Akaike, A. Fujimaki, K. Ishida, T. Ono, and K. Inoue
    • Organizer
      10th Superconducting SFQ VLSI Workshop
    • Place of Presentation
      Nagoya, Japan
    • Year and Date
      2017-02-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] Towards to ultra high-speed single-flux-quantum computing2017

    • Author(s)
      K. Inoue and M. Tanaka
    • Organizer
      Arm Research Summit
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] Wireless Spoofing-Attack PreventionUsing Radio-Propagation Characteristics2017

    • Author(s)
      Mihiro Sonoyama, Takatsugu Ono, Osamu Muta, Haruichi Kanaya, Koji Inoue
    • Organizer
      15th IEEE International Conference on Dependable, Autonomic and Secure Computing
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17K19984
  • [Presentation] Logic design of a single-flux-quantum gate-level-pipelined microprocessor2017

    • Author(s)
      K. Ishida, M. Tanaka, T. Ono, and K. Inoue
    • Organizer
      10th Superconducting SFQ VLSI Workshop
    • Place of Presentation
      Nagoya, Japan
    • Year and Date
      2017-02-20
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] Energy-efficient, high-performance microprocessors based on single-flux-quantum logic2016

    • Author(s)
      M. Tanaka, R. Sato, Y. Hatanaka, Y. Ando, T. Kawaguchi, K. Ishida, A. Fujimaki, K. Takagi, N. Takagi, T. Ono, and K. Inoue
    • Organizer
      29th International Symposium on Superconductivity
    • Place of Presentation
      Tokyo, Japan
    • Year and Date
      2016-12-14
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] Single-Flux-Quantum Cache Memory Architecture2016

    • Author(s)
      Koki Ishida, Masamitsu Tanaka, Takatsugu Ono, Koji Inoue
    • Organizer
      In Proc. of the 13th International SoC Design Conference (ISOCC '16)
    • Place of Presentation
      Jeju, Korea
    • Year and Date
      2016-10-23
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] 単一磁束量子回路を用いたマイクロプロセッサの論理設計と評価2016

    • Author(s)
      石田浩貴, 田中雅光, 小野貴継, 井上弘士
    • Organizer
      LSIとシステムのワークショップ
    • Place of Presentation
      東京, 日本
    • Year and Date
      2016-05-16
    • Data Source
      KAKENHI-PROJECT-16H02796
  • [Presentation] 物体追跡システムの低消費エネルギー化を目的とした動的フレームレート制御法2015

    • Author(s)
      井上優良
    • Organizer
      電子情報通信学会研究会
    • Place of Presentation
      長崎
    • Year and Date
      2015-12-01
    • Data Source
      KAKENHI-PROJECT-26540022
  • [Presentation] On the Power and Performance Analysis of GPU-Accelerated Systems2012

    • Author(s)
      Yuki Abe, Hiroshi Sasaki, Koji Inoue, Kazuaki Murakami, and Shinpei Kato
    • Organizer
      Poster Session, USENIX Annual Technical Conference
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Presentation] 3D memory architecture2011

    • Author(s)
      Koji Inoue
    • Organizer
      D43D : 3rd Design for 3D Silicon Integration Workshop
    • Place of Presentation
      Grenoble, France(招待講演)
    • Year and Date
      2011-06-30
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Presentation] 3次元積層LSIはメインストリームになり得るか?2011

    • Author(s)
      井上弘士
    • Organizer
      情報処理学会研究報告
    • Place of Presentation
      東京(パネル討論)
    • Year and Date
      2011-01-20
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Presentation] Adaptive Execution on 3D Microprocessors2011

    • Author(s)
      Koji Inoue
    • Organizer
      11th International Forum on Embedded MPSoC and Multicore
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Presentation] 3D memory architecture2011

    • Author(s)
      Koji Inoue
    • Organizer
      D43D: 3rd Design for 3D Silicon Integration Workshop
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Presentation] Reducing Preprocessing Overhead Times in a Reconfigurable Accelerator of Finite Difference Applications2010

    • Author(s)
      Hiroshi Kataoka, Hiroaki Honda, Farhad Mehdipour, Koji Inoue, Kazuaki Murakami
    • Organizer
      In Proc.Symp.on Application Accelerators in High Performance Computing
    • Place of Presentation
      アメリカ(ポスター)
    • Year and Date
      2010-07-13
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Presentation] SRAM/DRAM Hybrid Cache Architecture and Its Adaptive Optimization for 3D Integrated Microprocessors2010

    • Author(s)
      S.Hashiguchi, T.Ono, K.Inoue, K.Murakami
    • Organizer
      Poster Session, Fifteenth International Conference on Architectural Support for Programming Languages and Operating Systems
    • Place of Presentation
      米国(ピッツバーグ)
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Presentation] High-Performance, Low-Energy Embedded Computing Platform with ALU-Array based Accelerator2010

    • Author(s)
      Takaaki Hanada, Shinya Ueno, Hamid Noofi, Farhad Mehdipour, Koji Inoue, Kazuaki Murakami
    • Organizer
      Poster Session : Designing for Embedded Parallel Computing Platforms : Architectures, Design Tools, and Applications
    • Place of Presentation
      ドイツ(ドレスデン)
    • Data Source
      KAKENHI-PROJECT-21680005
  • [Presentation] Improving Energy Efficiency of Configurable Caches via Temperature-Aware Configuration Selection2008

    • Author(s)
      H, Noori・M, Goudarzi・K, Inoue・K, Murakami
    • Organizer
      Internal Symposium on VLSI(ISVLST08)
    • Place of Presentation
      フランス
    • Data Source
      KAKENHI-PROJECT-17680005
  • [Presentation] Improved Policies for Drowsy Caches in Embedded Processors2008

    • Author(s)
      J, Zushi・G, Zeng・H, Tomiyama・H, Takada・K, Inoue
    • Organizer
      Internal Symposium on Electronics Design, Test & Applications
    • Place of Presentation
      香港
    • Data Source
      KAKENHI-PROJECT-17680005
  • [Presentation] Design Space Exploration for a Coarse Grain Accelerator2008

    • Author(s)
      F, Mehdipour・H, Noori・M, S, Zamani・K, Inoue・K, Murakami
    • Organizer
      Asia and South Pactfic Design Automation Conference
    • Place of Presentation
      韓国
    • Data Source
      KAKENHI-PROJECT-17680005
  • [Presentation] Generating and Executing Multi-Exit Custom Instructions for an Adaptive Extensible Processor2007

    • Author(s)
      H, Noori・F, Mehdipour・K, Murakami・K, Inoue・M, Goudarzi
    • Organizer
      The European Event for Electronic System Design & Test
    • Place of Presentation
      フランス
    • Data Source
      KAKENHI-PROJECT-17680005
  • [Presentation] The Effect of Nanometer-Scale Technologies on the Cache Size Selection for Low Energy Embedded Systems2007

    • Author(s)
      H, Noori, M, Goudarzi・K, Inoue・K, Murakami
    • Organizer
      International Conference on Embedded Systems and Applications
    • Place of Presentation
      米国
    • Data Source
      KAKENHI-PROJECT-17680005
  • [Presentation] Dynamic Management Technique to Mitigate Performance Degradation for Low-Leakage Caches2007

    • Author(s)
      R, Komiya・K, Inoue・K, Murakami
    • Organizer
      The 10th IEEE Symposium on Low-Power and High-Speed Chips
    • Place of Presentation
      日本
    • Data Source
      KAKENHI-PROJECT-17680005
  • [Presentation] Energy Consumption Evaluation of an Adaptive Extensible Processor2007

    • Author(s)
      H, Noori・F, Mehdipour・M, Goudarei・S, Yamaguchi・K, Inoue・K, Murakami
    • Organizer
      Reconfigurable and Adaptive Architecture Workshop
    • Place of Presentation
      米国
    • Data Source
      KAKENHI-PROJECT-17680005
  • [Presentation] The Potential of Temperature-Aware Configyrable Cache on Energy Reduction2007

    • Author(s)
      Hamid, Noori・Maziar, Goudarzi・Koji, Inoue・Kazuaki, Murakmi
    • Organizer
      情報処理学会研究報告2007-ARC-173
    • Place of Presentation
      日本
    • Data Source
      KAKENHI-PROJECT-17680005
  • 1.  TANAKA Mitsumasa (10377864)
    # of Collaborated Projects: 5 results
    # of Collaborated Products: 37 results
  • 2.  谷本 輝夫 (60826353)
    # of Collaborated Projects: 3 results
    # of Collaborated Products: 8 results
  • 3.  MOSHNYAGA V.G. (40243050)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 0 results
  • 4.  松永 裕介 (00336059)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 0 results
  • 5.  小野 貴継 (80756239)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 7 results
  • 6.  川上 哲志 (20845523)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 1 results
  • 7.  SUETSUGU Tadashi (60279255)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 8.  TSURUTA Naoyuki (60227478)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 9.  HIROTO Yasuura (80135540)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 20 results
  • 10.  MURAKAMI Kazuaki (10200263)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 25 results
  • 11.  KUROKI Yukinori (40234596)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 12.  SAKURAI Kouichi (60264066)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 13.  SATO Toshinori (00322298)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 14.  SHINOZAKI Akihiko (00315045)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 15.  金谷 晴一 (40271077)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 16.  井上 創造 (90346825)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 94 results
  • 17.  中西 恒夫 (70311785)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 18.  宮崎 明雄 (70192763)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 19.  岩下 武史 (30324685)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 20.  廣川 真男 (70282788)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 21.  中村 宏 (20212102)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 22.  板垣 奈穂 (60579100)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 23.  浜屋 宏平 (90401281)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 24.  MOSHNYAGA Vasily
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 22 results
  • 25.  山下 太郎
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 2 results
  • 26.  藤巻 朗
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 2 results

URL: 

Are you sure that you want to link your ORCID iD to your KAKEN Researcher profile?
* This action can be performed only by the researcher himself/herself who is listed on the KAKEN Researcher’s page. Are you sure that this KAKEN Researcher’s page is your page?

この研究者とORCID iDの連携を行いますか?
※ この処理は、研究者本人だけが実行できます。

Information User Guide FAQ News Terms of Use Attribution of KAKENHI

Powered by NII kakenhi