• Search Research Projects
  • Search Researchers
  • How to Use
  1. Back to previous page

Ishikawa Kenji  石川 健治

ORCIDConnect your ORCID iD *help
… Alternative Names

ISHIKAWA Kenji  石川 健治

Less
Researcher Number 60417384
Other IDs
Affiliation (Current) 2025: 名古屋大学, 低温プラズマ科学研究センター, 教授
Affiliation (based on the past Project Information) *help 2021 – 2024: 名古屋大学, 低温プラズマ科学研究センター, 教授
2017 – 2020: 名古屋大学, 低温プラズマ科学研究センター, 特任教授
2019: 九州大学, プラズマナノ界面工学センター, 特任教授
2017: 名古屋大学, 工学研究科, 特任教授
2016 – 2017: 名古屋大学, 大学院工学研究科, 特任教授 … More
2016: 名古屋大学, 工学(系)研究科(研究院), その他
2016: 名古屋大学, プラズマナノ工学研究センター, 特任教授
2013 – 2016: 名古屋大学, 工学(系)研究科(研究院), 教授
2015: 名古屋大学, 工学研究科, 特任教授
2015: 名古屋大学, 大学院工学研究科, 教授
2014: 名古屋大学, プラズマナノ工学研究センター, 特任教授
2014: 名古屋大学, 大学院工学研究科, 特任教授
2012: 名古屋大学, 工学(系)研究科(研究院), 特任教授 Less
Review Section/Research Field
Principal Investigator
Plasma electronics / Transformative Research Areas, Section (II) / Medium-sized Section 14:Plasma science and related fields / Plasma science
Except Principal Investigator
Plasma electronics / Transformative Research Areas, Section (II) / Basic Section 14030:Applied plasma science-related / Medium-sized Section 14:Plasma science and related fields / Risk sciences of radiation and chemicals / Science and Engineering / Tumor biology / Thin film/Surface and interfacial physical properties
Keywords
Principal Investigator
電子スピン共鳴 / ラジカル / プラズマ / 低温プラズマ / 代謝 / プラズマ化学 / プラズマ滅菌 / プラズマ駆動型生化学 / 液相ラジカル / ストレス … More / ナノ材料 / メタボローム解析 / プラズマ医療科学 / 核磁気共鳴 / メタボリズム / 生物化学 / プラズマバイオ / 生体分子 / プラズマ医療 / 乳酸 / プラズマ活性有機物 / グリオーマ / 活性酸素窒素種 / 細胞内代謝 / メタボローム / 非平衡大気圧プラズマ / プラズマ加工 / 代謝解析 / プラズマ殺菌 / ミドリカビ胞子 / フリーラジカル … More
Except Principal Investigator
プラズマ / プラズマ医療 / エッチング / カーボンナノウォール / 癌治療 / プラズマ加工 / プラズマエッチング / プラズマがん治療 / 液中プラズマ / ラジカル / その場観察 / 分子輸送 / エピジェネティクス / 活性種 / プラズマ種子科学 / 電子衝突解離 / 電子衝突反応 / コインシデンス分光 / シリコン酸化膜 / ハイドロフロオロカーボン / シース電場揺らぎ / 光ピンセット / 高アスペクト比 / ゆらぎ / 高感度電場計測 / 微粒子プラズマ / 高アスペクト比エッチング / シース電場ゆらぎ / 光捕捉 / 2体問題 / 微粒子トラップ / 帯電量導出 / 電場揺動計測 / 電場計測 / 光捕捉微粒子 / プラズマプロセス / 帯電量 / 微粒子 / プラズマシース / 超高感度プラズマ電場計測 / 光ピンセット法 / ノジュール / ヒト由来骨芽細胞様細胞 / 周波数依存性 / 電気刺激 / 再生医療 / 細胞分化 / 壁密度 / 周波数 / 骨化 / 細胞内カルシウム / 細胞外カルシウム / 骨芽細胞 / 分化誘導 / グラフェンエッジ / 皮膚疾患 / 疫学 / 動物実験 / 衛生 / 環境技術 / 環境分析 / 社会医学 / 環境 / 排水路 / 河川 / クロム / 3価クロム / 皮革工場 / 浄化 / 健康影響 / 元素 / 健康リスク評価 / 環境モニタリング / 難聴 / 鉄 / 聴力障害 / 黒皮症 / 飲用井戸水 / 有害元素 / バイオ / アポトーシス / がん細胞 / 医療 / 大気圧プラズマ / がん治療 / プラズマ活性溶液 / メラノーマ / システムバイオロジー / 原子間力顕微鏡 / シュウ酸カルシウム / 活性酸素種 / 人工脂質二重膜 / 創傷治癒 / 抗腫瘍効果 / プラズマ活性点滴 / プラズマ活性培養液 / プラズマビーム / レジスト / 凹凸 / プラズマ化学 / シグナル伝達 / がん / 走査型電子顕微鏡 / プラズマ活性化培養液 / バイオマテリアル / in-situ観察 / 透過電子顕微鏡 / ナノグラフェン / X線光電子分光法 / グラフェン / 透過型電子顕微鏡 Less
  • Research Projects

    (17 results)
  • Research Products

    (662 results)
  • Co-Researchers

    (57 People)
  •  Comprehensive study of plasma-driven molecular dynamics in seeds

    • Principal Investigator
      古閑 一憲
    • Project Period (FY)
      2024 – 2028
    • Research Category
      Grant-in-Aid for Transformative Research Areas (A)
    • Review Section
      Transformative Research Areas, Section (II)
    • Research Institution
      Kyushu University
  •  Plasma-driven Sciences: Dynamics and transports of biochemically reactive speciesPrincipal Investigator

    • Principal Investigator
      石川 健治
    • Project Period (FY)
      2024 – 2028
    • Research Category
      Grant-in-Aid for Transformative Research Areas (A)
    • Review Section
      Transformative Research Areas, Section (II)
    • Research Institution
      Nagoya University
  •  Spatiotemporal analysis of aqueous reaction field of plasma-generated free radicalsPrincipal Investigator

    • Principal Investigator
      Ishikawa Kenji
    • Project Period (FY)
      2021 – 2023
    • Research Category
      Grant-in-Aid for Scientific Research (A)
    • Review Section
      Medium-sized Section 14:Plasma science and related fields
    • Research Institution
      Nagoya University
  •  Innovation in atomically controlled engineering of plasma etching technology with builiding a collaborative environment for theory, computation, and measurement

    • Principal Investigator
      Sekine Makoto
    • Project Period (FY)
      2021 – 2023
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Review Section
      Basic Section 14030:Applied plasma science-related
    • Research Institution
      Nagoya University
  •  Mechanism elucidation of spatio-temporal structure formation of sheath fluctuation using optically trapped fine particles in plasmas

    • Principal Investigator
      Shiratani Masaharu
    • Project Period (FY)
      2020 – 2023
    • Research Category
      Grant-in-Aid for Scientific Research (A)
    • Review Section
      Medium-sized Section 14:Plasma science and related fields
    • Research Institution
      Kyushu University
  •  Plasma Biochemistry and Metabolic Profiles of Cells Interacted with Non-thermal PlasmasPrincipal Investigator

    • Principal Investigator
      Ishikawa Kenji
    • Project Period (FY)
      2017 – 2019
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Research Field
      Plasma electronics
    • Research Institution
      Kyushu University
      Nagoya University
  •  Cancer treatments using plasma activated liquids and elucidating the mechanisms

    • Principal Investigator
      Tanaka Hiromasa
    • Project Period (FY)
      2015 – 2016
    • Research Category
      Grant-in-Aid for Young Scientists (A)
    • Research Field
      Plasma electronics
    • Research Institution
      Nagoya University
  •  Controling cell death, survival, and growth by plasma-activated solutions

    • Principal Investigator
      Tanaka Hiromasa
    • Project Period (FY)
      2015 – 2016
    • Research Category
      Grant-in-Aid for Challenging Exploratory Research
    • Research Field
      Plasma electronics
    • Research Institution
      Nagoya University
  •  Health risk assessment and development of remediation systems for elemental contamination of drinking water in Asian countries

    • Principal Investigator
      Kato Masashi
    • Project Period (FY)
      2015 – 2018
    • Research Category
      Grant-in-Aid for Scientific Research (A)
    • Research Field
      Risk sciences of radiation and chemicals
    • Research Institution
      Nagoya University
  •  Construction of carbon nanowall sheet edge electronics and differentiation induction control of single cell

    • Principal Investigator
      Hori Masaru
    • Project Period (FY)
      2015 – 2017
    • Research Category
      Grant-in-Aid for Scientific Research (A)
    • Research Field
      Plasma electronics
    • Research Institution
      Nagoya University
  •  Real-time in situ measurements of free radicals during Plasma sterilizationPrincipal Investigator

    • Principal Investigator
      Ishikawa Kenji
    • Project Period (FY)
      2014 – 2016
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Research Field
      Plasma electronics
    • Research Institution
      Nagoya University
  •  In-situ observation of bio materials under gas-liquid plasma exposure

    • Principal Investigator
      KONDO HIROKI
    • Project Period (FY)
      2013 – 2014
    • Research Category
      Grant-in-Aid for Challenging Exploratory Research
    • Research Field
      Plasma electronics
    • Research Institution
      Nagoya University
  •  Elucidating the intracellular molecular mechanisms of cell death of cancer cells by atmospheric pressure plasma

    • Principal Investigator
      TANAKA Hiromasa
    • Project Period (FY)
      2013 – 2014
    • Research Category
      Grant-in-Aid for Young Scientists (B)
    • Research Field
      Tumor biology
    • Research Institution
      Nagoya University
  •  Plasma science for nano-scale fabrication of fragile materials

    • Principal Investigator
      Sekine Makoto
    • Project Period (FY)
      2013 – 2015
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Research Field
      Plasma electronics
    • Research Institution
      Nagoya University
  •  Study on initial growth mechanism of vertically-grown nanographene observed by in-situ TEM

    • Principal Investigator
      KONDO HIROKI
    • Project Period (FY)
      2012 – 2014
    • Research Category
      Grant-in-Aid for Scientific Research (B)
    • Research Field
      Thin film/Surface and interfacial physical properties
    • Research Institution
      Nagoya University
  •  Study of effect of atmospheric pressure plasma on biological specimen by using in situ real time electron spin resonance techniquePrincipal Investigator

    • Principal Investigator
      ISHIKAWA Kenji
    • Project Period (FY)
      2012 – 2013
    • Research Category
      Grant-in-Aid for Challenging Exploratory Research
    • Research Field
      Plasma science
    • Research Institution
      Nagoya University
  •  Diagnostic analyses of dynamical interaction of plasma and surface in plasma medicine

    • Principal Investigator
      HORI Masaru
    • Project Period (FY)
      2012 – 2016
    • Research Category
      Grant-in-Aid for Scientific Research on Innovative Areas (Research in a proposed research area)
    • Review Section
      Science and Engineering
    • Research Institution
      Nagoya University

All 2024 2023 2022 2021 2020 2019 2018 2017 2016 2015 2014 2013 2012 Other

All Journal Article Presentation Book Patent

  • [Book] Chapter 2 Physical and Chemical Basis of Nonthermal Plasma, In "Plasma Medical Science"2018

    • Author(s)
      Kenji Ishikawa et al.
    • Total Pages
      103
    • Publisher
      Academic Press
    • ISBN
      9780128150047
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Journal Article] Low-temperature growth at 225°C and characterization of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition2024

    • Author(s)
      Minh Ngo Quang、Van Nong Ngo、Oda Osamu、Ishikawa Kenji、Hori Masaru
    • Journal Title

      Vacuum

      Volume: 224 Pages: 113180-113180

    • DOI

      10.1016/j.vacuum.2024.113180

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] Surface sulfurization of amorphous carbon films in the chemistry of oxygen plasma added with SO2 or OCS for high-aspect-ratio etching2024

    • Author(s)
      Ishikawa Kenji、Nguyen Thi-Thuy-Nga、Aoki Yuta、Sato Hiroyasu、Kawakami Junichi、Tsuno Shuji、Hsiao Shih-Nan、Hori Masaru
    • Journal Title

      Applied Surface Science

      Volume: 645 Pages: 158876-158876

    • DOI

      10.1016/j.apsusc.2023.158876

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] High linear energy transfer (LET) nature of alanine radical yield by soft X-ray irradiations studied by electron spin resonance (ESR) applications2024

    • Author(s)
      Nakagawa Seiko、Yokoya Akinari、Ohara Maki、Usami Noriko、Asada Mizue、Fujiwara Motoyasu、Nakamura Toshikazu、Ishikawa Kenji
    • Journal Title

      Radiation Physics and Chemistry

      Volume: 214 Pages: 111304-111304

    • DOI

      10.1016/j.radphyschem.2023.111304

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] Plasma-Driven Sciences: Exploring Complex Interactions at Plasma Boundaries2024

    • Author(s)
      Ishikawa Kenji、Koga Kazunori、Ohno Noriyasu
    • Journal Title

      Plasma

      Volume: 7 Issue: 1 Pages: 160-177

    • DOI

      10.3390/plasma7010011

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] Inhibition of glutamine metabolism increases sensitivity to plasma-activated medium-induced cytotoxicity2024

    • Author(s)
      Tanaka Shu、Hayashi Sae、Otsuka Tomohiro、Kamiya Tetsuro、Ishikawa Kenji、Hara Hirokazu
    • Journal Title

      Free Radical Research

      Volume: 58 Issue: 3 Pages: 170-179

    • DOI

      10.1080/10715762.2024.2332343

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] <i>In situ</i> atom-resolved observation of Si (111) 7×7 surface with F radical and Ar ion irradiation simulated atomic layer etching2024

    • Author(s)
      Tsutsumi Takayoshi、Asano Atsuki、Kondo Hiroki、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • Journal Title

      Journal of Vacuum Science &amp; Technology A

      Volume: 42 Issue: 3 Pages: 032603-032603

    • DOI

      10.1116/6.0003432

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Nitrogen admixture effects on growth characteristics and properties of carbon nanowalls2024

    • Author(s)
      Christy Peter Raj Dennis、Van Nong Ngo、Britun Nikolay、Minh Ngo Quang、Nguyen Thi-Thuy-Nga、Kondo Hiroki、Oda Osamu、Ishikawa Kenji、Hori Masaru
    • Journal Title

      Thin Solid Films

      Volume: 795 Pages: 140322-140322

    • DOI

      10.1016/j.tsf.2024.140322

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] Dissociative properties of C<sub>4</sub>F<sub>6</sub> obtained using computational chemistry2024

    • Author(s)
      Hayashi Toshio、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 63 Issue: 4 Pages: 04SP26-04SP26

    • DOI

      10.35848/1347-4065/ad3166

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Oxygen radical irradiation transforms an organic fertilizer l-tryptophan into an environment and human-friendly bactericide2024

    • Author(s)
      Iwata Naoyuki、Ishikawa Kenji、Nishikawa Yasuhiro、Kato Hiroyuki、Shimizu Motoyuki、Kato Masashi、Tanaka Hiromasa、Ito Masafumi、Hori Masaru
    • Journal Title

      Environmental Technology & Innovation

      Volume: 33 Pages: 103496-103496

    • DOI

      10.1016/j.eti.2023.103496

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-23KJ2066, KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [Journal Article] Generation and measurement of low-temperature plasma for cancer therapy: a historical review2023

    • Author(s)
      Ishikawa, Kenji; Takeda, Keigo; Yoshimura, Shinji; Kondo, Takashi; Tanaka, Hiromasa; Toyokuni, Shinya; Nakamura, Kae; Kajiyama, Hiroaki; Mizuno, Masaaki; Hori, Masaru
    • Journal Title

      Free Radic. Res.

      Volume: 57 Issue: 3 Pages: 239-270

    • DOI

      10.1080/10715762.2023.2230351

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-23K08884, KAKENHI-PROJECT-21K07697, KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [Journal Article] GaN damage-free cyclic etching by sequential exposure to Cl2 plasma and Ar plasma with low Ar+ ion energy at substrate temperature of 400°C2023

    • Author(s)
      Nakamura Shohei、Tanide Atsushi、Kimura Takahiro、Nadahara Soichi、Ishikawa Kenji、Oda Osamu、Hori Masaru
    • Journal Title

      Journal of Applied Physics

      Volume: 133 Issue: 4 Pages: 043302-043302

    • DOI

      10.1063/5.0131685

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases2023

    • Author(s)
      Hsiao Shih-Nan、Sekine Makoto、Ishikawa Kenji、Iijima Yuki、Ohya Yoshinobu、Hori Masaru
    • Journal Title

      Applied Physics Letters

      Volume: 123 Issue: 21 Pages: 1-4

    • DOI

      10.1063/5.0173553

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] Free radical formation induced by cold atmospheric plasma and its biological implications-Comparison with ionizing radiation- (低温大気圧プラズマによるフリーラジカル生成とその生物学的意義)2023

    • Author(s)
      Takashi Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, and Masaru Hori
    • Journal Title

      化学工業

      Volume: 74 Pages: 120-126

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] Effects of plasma-activated Ringer’s lactate solution on cancer cells: evaluation of genotoxicity2023

    • Author(s)
      Liu Yang、Nakatsu Yoshimichi、Tanaka Hiromasa、Koga Kazunori、Ishikawa Kenji、Shiratani Masaharu、Hori Masaru
    • Journal Title

      Genes and Environment

      Volume: 45 Issue: 1 Pages: 3-3

    • DOI

      10.1186/s41021-023-00260-x

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [Journal Article] Organic decomposition and synthesis reactions in lactated solution exposed to nonequilibrium atmospheric pressure plasma2023

    • Author(s)
      Liu Yang、Ishikawa Kenji、Tanaka Hiromasa、Miron Camelia、Kondo Takashi、Nakamura Kae、Mizuno Masaaki、Kajiyama Hiroaki、Toyokuni Shinya、Hori Masaru
    • Journal Title

      Plasma Processes and Polymers

      Volume: 20 Issue: 5 Pages: 2200193-2200193

    • DOI

      10.1002/ppap.202200193

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-23K08884, KAKENHI-PROJECT-21H04451
  • [Journal Article] High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts2023

    • Author(s)
      Tanaka Hiromasa、Iwata Daijiro、Shibata Yuki、Hase Tetsunari、Onoshima Daisuke、Yogo Naoyuki、Shibata Hirofumi、Sato Mitsuo、Ishikawa Kenji、Nagasawa Ikuo、Hasegawa Yoshinori、Ishii Makoto、Baba Yoshinobu、Hori Masaru
    • Journal Title

      Scientific Reports

      Volume: 13 Issue: 1 Pages: 4130-4130

    • DOI

      10.1038/s41598-023-31265-9

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-21H02924
  • [Journal Article] Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method2023

    • Author(s)
      Ghodke Swapnil、Murashima Motoyuki、Christy Dennis、Van Nong Ngo、Ishikawa Kenji、Oda Osamu、Umehara Noritsugu、Hori Masaru
    • Journal Title

      Materials Science and Engineering: A

      Volume: 862 Pages: 144428-144428

    • DOI

      10.1016/j.msea.2022.144428

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF62023

    • Author(s)
      Yoshie Taito、Ishikawa Kenji、Nguyen Thi-Thuy-Nga、Hsiao Shih-Nan、Tsutsumi Takayoshi、Sekine Makoto、Hori Masaru
    • Journal Title

      Applied Surface Science

      Volume: 638 Pages: 157981-157981

    • DOI

      10.1016/j.apsusc.2023.157981

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-23K03367, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] Plasma activated Ringer’s lactate solution2023

    • Author(s)
      Tanaka Hiromasa、Mizuno Masaaki、Ishikawa Kenji、Miron Camelia、Okazaki Yasumasa、Toyokuni Shinya、Nakamura Kae、Kajiyama Hiroaki、Hori Masaru
    • Journal Title

      Free Radical Research

      Volume: 57 Issue: 1 Pages: 14-20

    • DOI

      10.1080/10715762.2023.2182663

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-21K06968
  • [Journal Article] 総説:プラズマなどで処理された水の多様性2023

    • Author(s)
      石川健治
    • Journal Title

      静電気学会誌

      Volume: 46 Pages: 209-209

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substrate temperature with a CF4/H2 plasma2023

    • Author(s)
      Hsiao Shih-Nan、Britun Nikolay、Nguyen Thi-Thuy-Nga、Tsutsumi Takayoshi、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • Journal Title

      Vacuum

      Volume: 210 Pages: 111863-111863

    • DOI

      10.1016/j.vacuum.2023.111863

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] 低温大気圧プラズマによるフリーラジカル生成とその生物学的意義-放射線との比較-2023

    • Author(s)
      近藤隆、橋爪博司、田中宏昌 石川健治、堀勝
    • Journal Title

      化学工業

      Volume: 74 Pages: 120-126

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets2023

    • Author(s)
      Yoshimura Shinji、Otsubo Yoko、Yamashita Akira、Johzuka Katsuki、Tsutsumi Takayoshi、Ishikawa Kenji、Hori Masaru
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 62 Issue: SL Pages: SL1011-SL1011

    • DOI

      10.35848/1347-4065/acd4ca

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-22K03592, KAKENHI-PROJECT-21H04451
  • [Journal Article] Cancer-specific cytotoxicity of Ringer's acetate solution irradiated by cold atmospheric pressure plasma2023

    • Author(s)
      Miron, Camelia; Ishikawa, Kenji; Kashiwagura, Satoshi; Suda, Yuki; Tanaka, Hiromasa; Nakamura, Kae; Kajiyama, Hiroaki; Toyokuni, Shinya; Mizuno, Masaaki; Hori, Masaru
    • Journal Title

      Free Radic. Res.

      Volume: 57 Issue: 2 Pages: 91-104

    • DOI

      10.1080/10715762.2023.2201390

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-23K08884, KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [Journal Article] Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer’s rice cultivar Yamadanishiki2023

    • Author(s)
      Hashizume Hiroshi、Kitano Hidemi、Mizuno Hiroko、Abe Akiko、Yuasa Genki、Tohno Satoe、Tanaka Hiromasa、Ishikawa Kenji、Matsumoto Shogo、Sakakibara Hitoshi、Hirosue Yoji、Maeshima Masayoshi、Mizuno Masaaki、Hori Masaru
    • Journal Title

      Free Radical Research

      Volume: 57 Issue: 3 Pages: 161-173

    • DOI

      10.1080/10715762.2023.2215914

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-22K05612, KAKENHI-PROJECT-18KT0047, KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [Journal Article] The Possibility of Cancer Therapy with a Combination of Low Temperature Plasma and Hyperthermia2023

    • Author(s)
      Takashi Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori
    • Journal Title

      Therm Med

      Volume: 39 Pages: 21-30

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] Elaborate cooperation of poly(rC)-binding proteins 1/2 and glutathione in ferroptosis induced by plasma-activated Ringer's lactate2023

    • Author(s)
      Jiang, Li; Zheng, Hao; Ishida, Moe; Lyu, Qinying; Akatsuka, Shinya; Motooka, Yashiro; Sato, Kotaro; Sekido, Yoshitaka; Nakamura, Kae; Tanaka, Hiromasa; Ishikawa, Kenji; Kajiyama, Hiroaki; Mizuno, Masaaki; Hori, Masaru; Toyokuni, Shinya
    • Journal Title

      Free Radic. Biol. Med.

      Volume: 214 Pages: 28-41

    • DOI

      10.1016/j.freeradbiomed.2024.02.001

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-23K08884, KAKENHI-PROJECT-21H04451
  • [Journal Article] Plasma-Assisted Priming: Improved Germination and Seedling Performance of Papaya2023

    • Author(s)
      Xi Deng-Ke、Yap Seong Ling、Naresh Kumar Nitturi、Toh Chian Cheng、Ishikawa Kenji、Hori Masaru
    • Journal Title

      Sains Malaysiana

      Volume: 52 Issue: 2 Pages: 599-611

    • DOI

      10.17576/jsm-2023-5202-21

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451
  • [Journal Article] Deposition of carbon‐based materials directly on copper foil and nickel foam as 2D‐ and 3D‐networked metal substrates by in‐liquid plasma2023

    • Author(s)
      Dela Vega Ma. Shanlene D. C.、Nguyen Thi‐Thuy‐Nga、Kondo Hiroki、Tsutsumi Takayoshi、Ishikawa Kenji、Hori Masaru
    • Journal Title

      Plasma Processes and Polymers

      Volume: 20 Issue: 11 Pages: 1-5

    • DOI

      10.1002/ppap.202300036

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] Gas-phase study of the behavior of trimethyl gallium and triethyl gallium by optical emission spectroscopy and quadrupole mass spectroscopy for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition)2023

    • Author(s)
      Dhasiyan Arun Kumar、Jayaprasad Swathy、Amalraj Frank Wilson、Shimizu Naohiro、Oda Osamu、Ishikawa Kenji、Hori Masaru
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 62 Issue: SN Pages: SN1019-SN1019

    • DOI

      10.35848/1347-4065/acfd34

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] Low‐temperature reduction of SnO 2 by floating wire‐assisted medium‐pressure H2/Ar plasma2022

    • Author(s)
      Nguyen Thi‐Thuy‐Nga、Sasaki Minoru、Hsiao Shih‐Nan、Tsutsumi Takayoshi、Ishikawa Kenji、Hori Masaru
    • Journal Title

      Plasma Processes and Polymers

      Volume: 19 Issue: 6 Pages: 2100209-2100209

    • DOI

      10.1002/ppap.202100209

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Effects of High-Quality Carbon Nanowalls Ionization-Assisting Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance2022

    • Author(s)
      Sakai Ryusei、Kondo Hiroki、Ishikawa Kenji、Ohta Takayuki、Hiramatsu Mineo、Tanaka Hiromasa、Hori Masaru
    • Journal Title

      Nanomaterials

      Volume: 13 Issue: 1 Pages: 63-63

    • DOI

      10.3390/nano13010063

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [Journal Article] Impact of microsecond-pulsed plasma-activated water on papaya seed germination and seedling growth2022

    • Author(s)
      Xi Deng-Ke、Zhang Xian-Hui、Yang Si-Ze、Yap Seong Shan、Ishikawa Kenji、Hori Masura、Yap Seong Ling
    • Journal Title

      Chinese Physics B

      Volume: 31 Issue: 12 Pages: 128201-128201

    • DOI

      10.1088/1674-1056/ac904e

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds2022

    • Author(s)
      Ono Koki、Koide Takashi、Ishikawa Kenji、Tanaka Hiromasa、Kondo Hiroki、Sugawara-Narutaki Ayae、Jin Yong、Yasuhara Shigeo、Hori Masaru、Takeuchi Wakana
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 62 Issue: SA Pages: SA1017-SA1017

    • DOI

      10.35848/1347-4065/ac9319

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [Journal Article] Wide range applications of process plasma diagnostics using vacuum ultraviolet absorption spectroscopy2022

    • Author(s)
      Takeda Keigo、Ishikawa Kenji、Hori Masaru
    • Journal Title

      Reviews of Modern Plasma Physics

      Volume: 6 Issue: 1 Pages: 13-13

    • DOI

      10.1007/s41614-022-00075-3

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [Journal Article] Leukocyte depletion and size-based enrichment of circulating tumor cells using a pressure-sensing microfiltration device.2022

    • Author(s)
      Onoshima D, *Hase T, Kihara N, Kuboyama D, Tanaka H, Ozawa N, Yukawa H, Sato M, Ishikawa K, Hasegawa Y, Ishii M, Hori M, Baba Y.
    • Journal Title

      ACS Measurement Science Au

      Volume: 3 Issue: 2 Pages: 113-119

    • DOI

      10.1021/acsmeasuresciau.2c00057

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PUBLICLY-21H05589, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H02924
  • [Journal Article] Dissociation channels of c-C4F8 to C2F4 in reactive plasma2022

    • Author(s)
      Hayashi Toshio、Ishikawa Kenji、Iwayama Hiroshi、Sekine Makoto、Hori Masaru
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 61 Issue: 10 Pages: 106006-106006

    • DOI

      10.35848/1347-4065/ac895e

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] In‐liquid plasma synthesis of iron?nitrogen‐doped carbon nanoflakes with high catalytic activity2022

    • Author(s)
      Kondo Hiroki、Hamaji Ryo、Amano Tomoki、Ishikawa Kenji、Sekine Makoto、Hiramatsu Mineo、Hori Masaru
    • Journal Title

      Plasma Processes and Polymers

      Volume: 19 Issue: 8 Pages: 2100203-2100203

    • DOI

      10.1002/ppap.202100203

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] Plasma-assisted thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride2022

    • Author(s)
      Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Yuko Hanaoka, Masaru Izawa, Kenji Ishikawa, Masaru Hori
    • Journal Title

      Journal of Vacuum Science &amp; Technology B

      Volume: 40 Issue: 2 Pages: 022201-022201

    • DOI

      10.1116/6.0001660

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Study of optical emission spectroscopy using modified Boltzmann plot in dual-frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O2/C4F8 plasma etching process2022

    • Author(s)
      Sahu Bibhuti Bhusan、Nakane Kazuya、Ishikawa Kenji、Sekine Makoto、Tsutsumi Takayoshi、Gohira Taku、Ohya Yoshinobu、Ohno Noriyasu、Hori Masaru
    • Journal Title

      Physical Chemistry Chemical Physics

      Volume: 24 Issue: 22 Pages: 13883-13896

    • DOI

      10.1039/d2cp00289b

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma2022

    • Author(s)
      Nguyen Thi-Thuy-Nga、Shinoda Kazunori、Hamamura Hirotaka、Maeda Kenji、Yokogawa Kenetsu、Izawa Masaru、Ishikawa Kenji、Hori Masaru
    • Journal Title

      Scientific Reports

      Volume: 12 Issue: 1 Pages: 20394-20394

    • DOI

      10.1038/s41598-022-24949-1

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells2022

    • Author(s)
      Ichikawa Tomonori、Ishikawa Kenji、Tanaka Hiromasa、Shimizu Naohiro、Hori Masaru
    • Journal Title

      AIP Advances

      Volume: 12 Issue: 2 Pages: 025216-025216

    • DOI

      10.1063/5.0075530

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-21K18616
  • [Journal Article] Carbon Layer Formation on Hexagonal Boron Nitride by Plasma Processing in Hydroquinone Aqueous Solution2022

    • Author(s)
      K. Inoue, N. Sakakibara, T. Goto, T. Ito, Y. Shimizu, Y. Hakuta, K. Ishikawa, M. Hori and K. Terashima
    • Journal Title

      ACS Applied Materials & Interfaces

      Volume: 44 Issue: 47 Pages: 53413-53420

    • DOI

      10.1021/acsami.2c15951

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04450, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-19H01885, KAKENHI-PROJECT-22KJ1266, KAKENHI-PROJECT-21J13463
  • [Journal Article] Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis2022

    • Author(s)
      Kurokawa Jumpei、Kondo Hiroki、Tsutsumi Takayoshi、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • Journal Title

      Vacuum

      Volume: 205 Pages: 111351-111351

    • DOI

      10.1016/j.vacuum.2022.111351

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073, KAKENHI-PROJECT-20K14453
  • [Journal Article] Cytotoxicity of plasma-irradiated lactate solution produced under atmospheric airtight conditions and generation of the methyl amino group2022

    • Author(s)
      Ito Daiki、Iwata Naoyuki、Ishikawa Kenji、Nakamura Kae、Hashizume Hiroshi、Miron Camelia、Tanaka Hiromasa、Kajiyama Hiroaki、Toyokuni Shinya、Mizuno Masaaki、Hori Masaru
    • Journal Title

      Applied Physics Express

      Volume: 15 Issue: 5 Pages: 056001-056001

    • DOI

      10.35848/1882-0786/ac6360

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [Journal Article] Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies2022

    • Author(s)
      Kambara Makoto、Kawaguchi Satoru、Lee Hae June、Ikuse Kazumasa、Hamaguchi Satoshi、Ohmori Takeshi、Ishikawa Kenji
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 62 Issue: SA Pages: SA0803-SA0803

    • DOI

      10.35848/1347-4065/ac9189

    • Peer Reviewed / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H04453, KAKENHI-PROJECT-21H01073
  • [Journal Article] Perspectives on functional nitrogen science and plasma-based in situ functionalization2021

    • Author(s)
      Ishikawa Kenji
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 61 Issue: SA Pages: SA0802-SA0802

    • DOI

      10.35848/1347-4065/ac3558

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451
  • [Journal Article] Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer's lactate2021

    • Author(s)
      Jiang Li、Zheng Hao、Lyu Qinying、Hayashi Shotaro、Sato Kotaro、Sekido Yoshitaka、Nakamura Kae、Tanaka Hiromasa、Ishikawa Kenji、Kajiyama Hiroaki、Mizuno Masaaki、Hori Masaru、Toyokuni Shinya
    • Journal Title

      Redox Biology

      Volume: 43 Pages: 101989-101989

    • DOI

      10.1016/j.redox.2021.101989

    • Peer Reviewed / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05462, KAKENHI-PUBLICLY-20H05502, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-19H03527, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-21K18616
  • [Journal Article] Functional nitrogen science based on plasma processing: quantum devices, photocatalysts and activation of plant defense and immune systems2021

    • Author(s)
      Kaneko Toshiro、Kato Hiromitsu、Yamada Hideaki、Yamamoto Muneaki、Yoshida Tomoko、Attri Pankaj、Koga Kazunori、Murakami Tomoyuki、Kuchitsu Kazuyuki、Ando Sugihiro、Nishikawa Yasuhiro、Tomita Kentaro、Ono Ryo、Ito Tsuyohito、Ito Atsushi M.、Eriguchi Koji、Nozaki Tomohiro、Tsutsumi Takayoshi、Ishikawa Kenji
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 61 Issue: SA Pages: SA0805-SA0805

    • DOI

      10.35848/1347-4065/ac25dc

    • Peer Reviewed / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-20K14454, KAKENHI-PROJECT-18H01232, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-18H03687, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-20H01880, KAKENHI-PROJECT-20H02990, KAKENHI-PROJECT-21K18613, KAKENHI-PROJECT-21K18615, KAKENHI-PROJECT-20KK0089, KAKENHI-PROJECT-19K03813, KAKENHI-PROJECT-18H03815
  • [Journal Article] 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 はじめに2021

    • Author(s)
      竹田圭吾,石川健治
    • Journal Title

      プラズマ核融合学会誌

      Volume: 97 Pages: 508-510

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Cancer Treatments Using Low-Temperature Plasma2021

    • Author(s)
      Tanaka Hiromasa、Mizuno Masaaki、Ishikawa Kenji、Toyokuni Shinya、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • Journal Title

      Current Medicinal Chemistry

      Volume: 28 Issue: 41 Pages: 8549-8558

    • DOI

      10.2174/0929867328666210629121731

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-21K18616
  • [Journal Article] Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma2021

    • Author(s)
      Liu Yang、Ishikawa Kenji、Miron Camelia、Hashizume Hiroshi、Tanaka Hiromasa、Hori Masaru
    • Journal Title

      Plasma Sources Science and Technology

      Volume: 30 Issue: 4 Pages: 04LT03-04LT03

    • DOI

      10.1088/1361-6595/abbbd4

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-21K18616
  • [Journal Article] Plasma‐activated Ringer's lactate solution inhibits the cellular respiratory system in HeLa cells2021

    • Author(s)
      Tanaka Hiromasa、Maeda Shogo、Nakamura Kae、Hashizume Hiroshi、Ishikawa Kenji、Ito Mikako、Ohno Kinji、Mizuno Masaaki、Motooka Yashiro、Okazaki Yasumasa、Toyokuni Shinya、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • Journal Title

      Plasma Processes and Polymers

      Volume: 18 Issue: 10 Pages: 2100056-2100056

    • DOI

      10.1002/ppap.202100056

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K06925, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-21K18616
  • [Journal Article] On the Etching Mechanism of Highly Hydrogenated SiN Films by CF4/D2 Plasma: Comparison with CF4/H22021

    • Author(s)
      Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • Journal Title

      Coatings

      Volume: 11 Issue: 12 Pages: 1535-1535

    • DOI

      10.3390/coatings11121535

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] プラズマがん治療2021

    • Author(s)
      石川健治, 堀勝
    • Journal Title

      静電気学会

      Volume: 45 Pages: 206-212

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified2021

    • Author(s)
      Tanaka Hiromasa、Hosoi Yugo、Ishikawa Kenji、Yoshitake Jun、Shibata Takahiro、Uchida Koji、Hashizume Hiroshi、Mizuno Masaaki、Okazaki Yasumasa、Toyokuni Shinya、Nakamura Kae、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • Journal Title

      Scientific Reports

      Volume: 11 Issue: 1 Pages: 1-10

    • DOI

      10.1038/s41598-021-98020-w

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-21K06968, KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-17H06170
  • [Journal Article] Effects of free radicals generated by atmospheric pressure plasma on physical actions and biochemical relaxations (PACR)2021

    • Author(s)
      石川健治, 橋爪博司, カメリアミロン, 田中宏昌, 堀勝
    • Journal Title

      放射線生物研究

      Volume: 56 Pages: 280-294

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Journal Article] 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 おわりに2021

    • Author(s)
      竹田圭吾,石川健治
    • Journal Title

      プラズマ核融合学会誌

      Volume: 97 Pages: 534-536

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Towards prevention and prediction of infectious diseases with virus sterilization using ultraviolet light and low-temperature plasma and bio-sensing devices for health and hygiene care2021

    • Author(s)
      Kumagai Shinya、Nishigori Chikako、Takeuchi Tetsuya、Bruggeman Peter、Takashima Keisuke、Takahashi Hideki、Kaneko Toshiro、Choi Eun Ha、Nakazato Kazuo、Kambara Makoto、Ishikawa Kenji
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 61 Issue: SA Pages: SA0808-SA0808

    • DOI

      10.35848/1347-4065/ac1c3d

    • Peer Reviewed / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-18H03687, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21K18613, KAKENHI-PROJECT-20H01890
  • [Journal Article] Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma2021

    • Author(s)
      Tanaka Hiromasa、Matsumura Shogo、Ishikawa Kenji、Hashizume Hiroshi、Ito Masafumi、Nakamura Kae、Kajiyama Hiroaki、Kikkawa Fumitaka、Ito Mikako、Ohno Kinji、Okazaki Yasumasa、Toyokuni Shinya、Mizuno Masaaki、Hori Masaru
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 61 Issue: SA Pages: SA1007-SA1007

    • DOI

      10.35848/1347-4065/ac2037

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K06925, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-21K18616
  • [Journal Article] 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス2021

    • Author(s)
      堤隆嘉,石川健治,近藤博基,関根誠,堀勝
    • Journal Title

      プラズマ核融合学会誌

      Volume: 97 Pages: 517-521

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Journal Article] Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF4/H2 plasma at different substrate temperatures2021

    • Author(s)
      Shih‐Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • Journal Title

      Plasma Processes and Polymers

      Volume: 18 Issue: 11 Pages: 2100078-2100078

    • DOI

      10.1002/ppap.202100078

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-21H01073, KAKENHI-PROJECT-20K14453
  • [Journal Article] Brain cell proliferation in adult rats after irradiation with nonequilibrium atmospheric pressure plasma2021

    • Author(s)
      Masanori YAMATO, et al
    • Journal Title

      Applied Phisics Express

      Volume: in press Issue: 6 Pages: 067002-067002

    • DOI

      10.35848/1882-0786/ac03c1

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-17K13025, KAKENHI-PROJECT-19K07682, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-19H05650, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-21K18616
  • [Journal Article] Electron spin resonance as a tool to monitor the influence of novel processing technologies on food properties2020

    • Author(s)
      Francisco J. Barba, Shahin Roohinejad, Kenji Ishikawa, Sze Ying Leong, Alaa El-Din A Bekhit, Jorge A. Saraiva, and Nikolai Lebovka
    • Journal Title

      Trends in Food Science and Technology

      Volume: 100 Pages: 77-87

    • DOI

      10.1016/j.tifs.2020.03.032

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Journal Article] Atmospheric Pressure Plasma-Treated Carbon Nanowalls’ Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS)2019

    • Author(s)
      Ohta Takayuki、Ito Hironori、Ishikawa Kenji、Kondo Hiroki、Hiramatsu Mineo、Hori Masaru
    • Journal Title

      C

      Volume: 5 Issue: 3 Pages: 40-40

    • DOI

      10.3390/c5030040

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-17H02805
  • [Journal Article] Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowall scaffolds2019

    • Author(s)
      Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • Journal Title

      Applied Physics Express

      Volume: 12 Issue: 2 Pages: 025006-025006

    • DOI

      10.7567/1882-0786/aaf469

    • NAID

      210000135543

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Journal Article] Non-thermal plasma-activated medium modified metabolomic profiles in the glycolysis of U251SP glioblastoma2019

    • Author(s)
      Kurake Naoyuki、Ishikawa Kenji、Tanaka Hiromasa、Hashizume Hiroshi、Nakamura Kae、Kajiyama Hiroaki、Toyokuni Shinya、Kikkawa Fumitaka、Mizuno Masaaki、Hori Masaru
    • Journal Title

      Archives of Biochemistry and Biophysics

      Volume: 662 Pages: 83-92

    • DOI

      10.1016/j.abb.2018.12.001

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-17H02805
  • [Journal Article] Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources2019

    • Author(s)
      Keigo Takeda, Hiromasa Yamada, Kenji Ishikawa, Hajime Sakakita, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Yoshihiro Akimoto, Yosky Kataoka, Naoaki Yokoyama, Yuzuru Ikehara, and Masaru Hori
    • Journal Title

      Journal of Physics D:Applied Physics

      Volume: 52 Issue: 16 Pages: 165202-165202

    • DOI

      10.1088/1361-6463/aaff44

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-17H02805, KAKENHI-PROJECT-18H02724, KAKENHI-PROJECT-17K18774
  • [Journal Article] Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation2019

    • Author(s)
      Ichikawa Tomonori、Kondo Hiroki、Ishikawa Kenji、Tsutsumi Takayoshi、Tanaka Hiromasa、Sekine Makoto、Hori Masaru
    • Journal Title

      ACS Applied Bio Materials

      Volume: 2 Issue: 7 Pages: 2698-2702

    • DOI

      10.1021/acsabm.9b00178

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-17H02805
  • [Journal Article] Simultaneous achievement of antimicrobial property and plant growth promotion using plasma‐activated benzoic compound solution2019

    • Author(s)
      Iwata Naoyuki、Gamaleev Vladislav、Hashizume Hiroshi、Oh Jun‐Seok、Ohta Takayuki、Ishikawa Kenji、Hori Masaru、Ito Masafumi
    • Journal Title

      Plasma Processes and Polymers

      Volume: 16 Issue: 8 Pages: 1900023-1900023

    • DOI

      10.1002/ppap.201900023

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-17H02805
  • [Journal Article] Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy2019

    • Author(s)
      Yamaoka Sotaro、Kondo Hiroki、Hashizume Hiroshi、Ishikawa Kenji、Tanaka Hiromasa、Hori Masaru
    • Journal Title

      Applied Physics Express

      Volume: 12 Issue: 6 Pages: 066001-066001

    • DOI

      10.7567/1882-0786/ab1a58

    • NAID

      210000155782

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-17H02805
  • [Journal Article] Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions2019

    • Author(s)
      Tanaka Hiromasa、Mizuno Masaaki、Katsumata Yuko、Ishikawa Kenji、Kondo Hiroki、Hashizume Hiroshi、Okazaki Yasumasa、Toyokuni Shinya、Nakamura Kae、Yoshikawa Nobuhisa、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • Journal Title

      Scientific Reports

      Volume: 9 Issue: 1 Pages: 13657-13657

    • DOI

      10.1038/s41598-019-50136-w

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-17H02805, KAKENHI-PROJECT-17H04064
  • [Journal Article] Glioblastoma cell lines display different sensitivities to plasma-activated medium2018

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
    • Journal Title

      IEEE Plasma Radiation and Plasma Medical Sciences

      Volume: 2 Issue: 2 Pages: 99-102

    • DOI

      10.1109/trpms.2017.2721973

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [Journal Article] Selective production of ROS and RNS in the plasma treated water by using nonthermal low- and high-frequency plasma jets2018

    • Author(s)
      Giichiro Uchida, Kosuke Takenaka, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Yuichi Setsuhara
    • Journal Title

      Jpn J Appl Phys

      Volume: 57 Issue: 1 Pages: 0102B4-0102B4

    • DOI

      10.7567/jjap.57.0102b4

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [Journal Article] Cytotoxic effects of plasma-irradiated fullerenol2018

    • Author(s)
      Kanno Daiki、Tanaka Hiromasa、Ishikawa Kenji、Hashizume Hiroshi、Hori Masaru
    • Journal Title

      Journal of Physics D: Applied Physics

      Volume: 51 Issue: 37 Pages: 375401-375401

    • DOI

      10.1088/1361-6463/aad510

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-17H02805
  • [Journal Article] Cytotoxicity on cancer HeLa cells sensitively against normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas2018

    • Author(s)
      Yohei Takahashi, Yusuke Taki, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, and Masaru Hori
    • Journal Title

      J. Phys.D: Appl. Phys.

      Volume: 51 Issue: 9 Pages: 095202-095202

    • DOI

      10.1088/1361-6463/aaa885

    • Peer Reviewed
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [Journal Article] Effect of Plasma-Activated Lactated Ringer’s Solution on Pancreatic Cancer Cells In Vitro and In Vivo2018

    • Author(s)
      Yusuke Sato, Suguru Yamada, Shigeomi Takeda, Norifumi Hattori, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Yasuhiro Kodera
    • Journal Title

      Ann Surg Oncol

      Volume: 25 Issue: 1 Pages: 23-30

    • DOI

      10.1245/s10434-017-6239-y

    • Peer Reviewed
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805, KAKENHI-PROJECT-18H00238
  • [Journal Article] Molecular mechanisms of non-thermal plasma-induced effects in cancer cells2018

    • Author(s)
      Tanaka Hiromasa、Mizuno Masaaki、Ishikawa Kenji、Toyokuni Shinya、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • Journal Title

      Biological Chemistry

      Volume: 400 Issue: 1 Pages: 87-91

    • DOI

      10.1515/hsz-2018-0199

    • NAID

      120006577057

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-17H02805
  • [Journal Article] Free radical generation by non-equilibrium atmospheric pressure plasma in alcohol-water mixtures. An EPR-spin trapping study2018

    • Author(s)
      Hidefumi Uchiyama, Qing-Li Zhao, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, Murali Krishna, Yuji Matsuya, Kenji Ishikawa, Masaru Hori, Kyo Noguchi, and Takashi Kondo
    • Journal Title

      J. Phys.D: Appl. Phys.

      Volume: 51 Issue: 11 Pages: 026201-026201

    • DOI

      10.1088/1361-6463/aaab09

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [Journal Article] New Hopes for Plasma-Based Cancer Treatment2018

    • Author(s)
      Tanaka Hiromasa、Mizuno Masaaki、Ishikawa Kenji、Toyokuni Shinya、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • Journal Title

      Plasma

      Volume: 1 Issue: 1 Pages: 150-155

    • DOI

      10.3390/plasma1010014

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-17H02805
  • [Journal Article] Low-autofluorescence fluoropolymer membrane filters for cell filtration2018

    • Author(s)
      Kihara Naoto、Kuboyama Daiki、Onoshima Daisuke、Ishikawa Kenji、Tanaka Hiromasa、Ozawa Naoya、Hase Tetsunari、Koguchi Ryohei、Yukawa Hiroshi、Odaka Hidefumi、Hasegawa Yoshinori、Baba Yoshinobu、Hori Masaru
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 57 Issue: 6S2 Pages: 06JF03-06JF03

    • DOI

      10.7567/jjap.57.06jf03

    • NAID

      210000149208

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-17H02805, KAKENHI-PLANNED-17H06354
  • [Journal Article] Reduced HeLa cell viability in methionine-containing cell culture medium irradiated with microwave-excited atmospheric-pressure plasma2018

    • Author(s)
      Yohei Takahashi, Yusuke Taki, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, and Masaru Hori
    • Journal Title

      Plasma Processes Polymers

      Volume: 15 Issue: 3 Pages: 1700200-1700200

    • DOI

      10.1002/ppap.201700200

    • Peer Reviewed
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [Journal Article] Cell Deposition Microchip with Micropipette Control over Liquid Interface Motion, Cell Medicine2018

    • Author(s)
      D. Onoshima, Y. Hattori, H. Yukawa, K. Ishikawa, M. Hori, Y. Baba
    • Journal Title

      Cell Medicine

      Volume: 10 Pages: 1-5

    • DOI

      10.1177/2155179017733152

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-16H02091, KAKENHI-PROJECT-17H02805
  • [Journal Article] Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation2017

    • Author(s)
      Moniruzzaman Rohan, Qing-Li Zhao, Paras Jawaid, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Kei Tomihara, Noguchi Kyo, Takashi Kondo, and Makoto Noguchi
    • Journal Title

      Scientific Reports

      Volume: 7 Issue: 1 Pages: 11659-11659

    • DOI

      10.1038/s41598-017-11877-8

    • Peer Reviewed / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-15H04904, KAKENHI-PROJECT-17H02805
  • [Journal Article] Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation: Induction of apoptotic death in HeLa cells following cultivation in non-equilibrium (cold) atmospheric pressure plasma-activated medium2017

    • Author(s)
      Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • Journal Title

      Plasma Process and Polymers

      Volume: 14 Issue: 11 Pages: 1700123-1700123

    • DOI

      10.1002/ppap.201700123

    • Peer Reviewed
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [Journal Article] Crystallization of calcium oxalate dihydrate in a buffered calcium-containing glucose solution by irradiation with non-equilibrium atmospheric pressure plasma2017

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yuzuru Ikehara, and Masaru Hori
    • Journal Title

      J Appl Phys

      Volume: 122 Issue: 14 Pages: 143301-143301

    • DOI

      10.1063/1.5006598

    • Peer Reviewed
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [Journal Article] Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals2017

    • Author(s)
      Tsuyoshi Kobayashi, Natsumi Iwata, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • Journal Title

      Journal of Physics D: Applied Physics

      Volume: 50 Issue: 15 Pages: 1552081-7

    • DOI

      10.1088/1361-6463/aa61d7

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-26286072
  • [Journal Article] Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy2017

    • Author(s)
      Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori
    • Journal Title

      Phys. Chem. Chem. Phys.

      Volume: 19 Issue: 21 Pages: 13438-13442

    • DOI

      10.1039/c7cp00489c

    • NAID

      120006337722

    • Peer Reviewed
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [Journal Article] Spatial distributions of O, N, NO, OH and vacuum ultraviolet light along gas flow direction in an AC-excited atmospheric pressure Ar plasma jet generated in open air2017

    • Author(s)
      Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori
    • Journal Title

      J. Phys. D: Appl. Phys.

      Volume: 50 Issue: 19 Pages: 195202-195202

    • DOI

      10.1088/1361-6463/aa6555

    • Peer Reviewed
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [Journal Article] Effects of OH and NO radicals in the aqueous phase on H2O2 and NO2- generated in plasma-activated medium2017

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Takashi Kondo, Fumitaka Kikkawa, Masaaki Mizuno and Masaru Hori
    • Journal Title

      J. Phys. D: Appl. Phys.

      Volume: 50 Issue: 15 Pages: 155201-155201

    • DOI

      10.1088/1361-6463/aa5f1d

    • Peer Reviewed
    • Data Source
      KAKENHI-ORGANIZER-24108001, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [Journal Article] State of the art in medical applications using non-thermal atmospheric pressure plasma2017

    • Author(s)
      Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Hans-Robert Metelmann, and Masaru Hori
    • Journal Title

      Rev. Mod. Plasma Phys.

      Volume: 1 Issue: 1 Pages: 3-3

    • DOI

      10.1007/s41614-017-0004-3

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [Journal Article] Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition2017

    • Author(s)
      Masakazu Tomatsu, Mineo Hiramatsu, John S. Foord, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Keigo Takeda and Masaru Hori
    • Journal Title

      Jpn. J. Appl. Phys.

      Volume: 56 Issue: 6S2 Pages: 06HF03-06HF03

    • DOI

      10.7567/jjap.56.06hf03

    • NAID

      210000147974

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Journal Article] Oxygen-radical pretreatment promotes cellulose degradation by cellulolytic enzymes2017

    • Author(s)
      Sakai Kiyota、Kojiya Saki、Kamijo Junya、Tanaka Yuta、Tanaka Kenta、Maebayashi Masahiro、Oh Jun-Seok、Ito Masafumi、Hori Masaru、Shimizu Motoyuki、Kato Masashi
    • Journal Title

      Biotechnology for Biofuels

      Volume: 10 Issue: 1 Pages: 290-290

    • DOI

      10.1186/s13068-017-0979-6

    • Peer Reviewed / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17K07734, KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [Journal Article] Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet2017

    • Author(s)
      Keigo Takeda Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka3  Makoto Sekine  Masaru Hori
    • Journal Title

      Applied Physics Express

      Volume: 10 Issue: 3 Pages: 036201-036201

    • DOI

      10.7567/apex.10.036201

    • NAID

      210000135797

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [Journal Article] Effect of gas residence time on near-edge X-ray absorption fine structures of hydrogenated amorphous carbon films grown by plasma-enhanced chemical vapor deposition2016

    • Author(s)
      L. Jia, H. Sugiura, H. Kondo, K. Takeda, K. Ishikawa, O. Oda, M. Sekine, M. Hiramatsu, M. Hori
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 55 Issue: 4 Pages: 040305-040305

    • DOI

      10.7567/jjap.55.040305

    • NAID

      210000146221

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Journal Article] Non-Thermal atmospheric pressure plasma activated lactate in Ringer’s solution for anti-tumor effects2016

    • Author(s)
      H.Tanaka, K.Nakamura, M.Mizuno, K.Ishikawa, K.Takeda, h.Kajiyama, F.Utsumi, F.Kikkawa, M.Hori
    • Journal Title

      Scientific Report

      Volume: 6 Issue: 1 Pages: 36282-36282

    • DOI

      10.1038/srep36282

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PLANNED-24108008, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [Journal Article] Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium2016

    • Author(s)
      Kurake N, Tanaka H, Ishikawa K, Kondo T, Sekine M, Nakamura K, Kajiyama Hi, Kikkaw F, Mizun M, Hori M
    • Journal Title

      Arch Biochem Biophys

      Volume: 未定 Pages: 102-108

    • DOI

      10.1016/j.abb.2016.01.011

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PUBLICLY-15H00892, KAKENHI-PUBLICLY-15H00900, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PLANNED-24108008, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [Journal Article] Helium based cold atmospheric plasma-induced reactive oxygen species-mediated apoptotic pathway attenuated by platinum nanoparticles.2016

    • Author(s)
      Jawaid P, Rehman MU, Zhao QL, Takeda K, Ishikawa K, Hori M, Shimizu T, Kondo T:
    • Journal Title

      J. Cell Mol Med

      Volume: 20 Issue: 9 Pages: 1737-1748

    • DOI

      10.1111/jcmm.12880

    • Peer Reviewed / Acknowledgement Compliant / Open Access
    • Data Source
      KAKENHI-PUBLICLY-15H00892, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-15H04904
  • [Journal Article] Microfluidic Transport Through Microsized Holes Treated by Nonequilibrium Atmospheric-Pressure Plasma2016

    • Author(s)
      Takumi Ito, Kenji Ishikawa,Daisuke Onoshima, Naoto Kihara,Kentaro Tatsukoshi Hidefumi Odaka, Hiroshi Hashizume,Hiromasa Tanaka,Hiroshi Yukawa, Keigo Takeda Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, Masaru Hori
    • Journal Title

      IEEE Transactions on Plasma Science

      Volume: PP Issue: 12 Pages: 3060-3065

    • DOI

      10.1109/tps.2016.2571721

    • NAID

      120005981198

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [Journal Article] Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma2016

    • Author(s)
      N. Kurake., et. al.,
    • Journal Title

      Applied Physics Express

      Volume: 9 Issue: 9 Pages: 096201-096201

    • DOI

      10.7567/apex.9.096201

    • Peer Reviewed / Acknowledgement Compliant / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-PUBLICLY-15H00903, KAKENHI-ORGANIZER-24108001, KAKENHI-PROJECT-16H04307, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390, KAKENHI-PROJECT-15K13917
  • [Journal Article] Cold plasma interactions with enzymes in foods and model systems2016

    • Author(s)
      N. N. Misra, S. K. Pankaj, Annalisa Segat, Kenji Ishikawa
    • Journal Title

      Trends in Food Science & Technology

      Volume: 55 Pages: 39-47

    • DOI

      10.1016/j.tifs.2016.07.001

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Journal Article] Effects of assisted magnetic field to an atmospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function2016

    • Author(s)
      Chih-Tung Liu, Takumi Kumakura, Kenji Ishikawa, Hiroshi Hashizume, Keigo Takeda, Masafumi Ito, Jong-Shinn Wu, and Masaru Hori
    • Journal Title

      Plasma Sources Sci. Technol.

      Volume: 25 Issue: 6 Pages: 065005-065005

    • DOI

      10.1088/0963-0252/25/6/065005

    • Peer Reviewed / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Red Blood Cell Coagulation Induced by Low-temperature Plasma Treatment.2016

    • Author(s)
      Miyamoto K, Ikehara S, Takei H, Akimoto Y, Sakakita H, Ishikawa K, Ueda M, Ikeda J, Yamagishi M, Kim J, Yamaguchi T, Nakanishi H, Shimizu N, Hori M, Ikehara Y.
    • Journal Title

      Arch Biochem Biophys

      Volume: in press Pages: 95-101

    • DOI

      10.1016/j.abb.2016.03.023

    • Peer Reviewed / Acknowledgement Compliant / Int'l Joint Research
    • Data Source
      KAKENHI-PUBLICLY-15H00894, KAKENHI-PROJECT-25460435, KAKENHI-PUBLICLY-15H00895, KAKENHI-PUBLICLY-15H00901, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PLANNED-24108006
  • [Journal Article] The effects of nitrogen on apoptosis and changes in gene expression in human lymphoma U937 cells exposed to argon-cold atmospheric pressure plasma2016

    • Author(s)
      Tabuchi Y, Uchiyama H, Zhao QL, Yunoki T, Andocs G, Nojima N, Takeda K, Ishikawa K, Hori M, Kondo T
    • Journal Title

      In J Mol Med

      Volume: 37 Issue: 6 Pages: 1706-1714

    • DOI

      10.3892/ijmm.2016.2574

    • Peer Reviewed / Acknowledgement Compliant
    • Data Source
      KAKENHI-PUBLICLY-15H00892, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002
  • [Journal Article] Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis2015

    • Author(s)
      T. Tsutsumi, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori
    • Journal Title

      Japanese Journal of Applied Physices

      Volume: 54 Issue: 1S Pages: 01AB04-01AB04

    • DOI

      10.7567/jjap.55.01ab04

    • NAID

      210000145944

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-15H02032, KAKENHI-PROJECT-25286080
  • [Journal Article] Plasma with high electron density and plasma-activated medium for cancer treatment2015

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, H. Kondo, K. Takeda, H. Hashizume, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Akiyama, S. Maruyama, S. Yamada, Y. Kodera, H. Kaneko, H. Terasaki, H. Hara, T. Adachi, M. Iida, I. Yajima, M. Kato, F. Kikkawa, M. Hori
    • Journal Title

      Clinical Plasma Medicine

      Volume: 3 Issue: 2 Pages: 72-76

    • DOI

      10.1016/j.cpme.2015.09.001

    • Peer Reviewed / Acknowledgement Compliant / Open Access
    • Data Source
      KAKENHI-PROJECT-15K13390, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108008, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-25461717
  • [Journal Article] Decreased expression levels of cell cycle regulators and matrix metalloproteinases in melanoma from RET-transgenic mice by single irradiation of non-equilibrium atmospheric pressure plasmas2015

    • Author(s)
      M. Iida, I. Yajima, N. Ohgami, L. Xiang, C. Zou, K. Ishikawa, M. Hori, M. Kato
    • Journal Title

      International Journal of Clinical and Experimental Pathology

      Volume: 8 Pages: 9326-9331

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions2015

    • Author(s)
      Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Journal Title

      J. Phys. D: Appl. Phys.

      Volume: 48 Issue: 4 Pages: 045202-045202

    • DOI

      10.1088/0022-3727/48/4/045202

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Electronic properties of HBr, O2 and Cl2 used in Si etching2015

    • Author(s)
      Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Journal Title

      Japan. J. Appl. Phys.

      Volume: 54 Issue: 6S2 Pages: 06GA03-06GA03

    • DOI

      10.7567/jjap.54.06ga03

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] EPR-spin trapping and flow cytometric studies of free radicals generated using cold atmospheric argon plasma and X-ray irradiation in aqueous solutions and intracellular milieu2015

    • Author(s)
      Uchiyama H , Zhao Q-L, Hassan M.A., Andocs G, Nojima N, Takeda K, Ishikawa K, Hori M and Kondo T
    • Journal Title

      PLoS One

      Volume: 10 (8) Issue: 8 Pages: e0136956-e0136956

    • DOI

      10.1371/journal.pone.0136956

    • Peer Reviewed / Acknowledgement Compliant / Open Access / Int'l Joint Research
    • Data Source
      KAKENHI-PUBLICLY-15H00892, KAKENHI-PLANNED-24108002
  • [Journal Article] Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures2015

    • Author(s)
      Zecheng Liu, Jialin Pan, Takashi Kako Kenji Ishikawa, Osamu Oda, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Journal Title

      Japan. J. Appl. Phys.

      Volume: 54 Issue: 6S2 Pages: 06GB04-06GB04

    • DOI

      10.7567/jjap.54.06gb04

    • NAID

      210000145318

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching2015

    • Author(s)
      Takayoshi Tsutsumi, Yusuke Fukunaga, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori
    • Journal Title

      IEEE Trans Semiconductor manufacturing

      Volume: 28 Issue: 4 Pages: 515-520

    • DOI

      10.1109/tsm.2015.2470554

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase2015

    • Author(s)
      Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Journal Title

      Japan. J. Appl. Phys.

      Volume: 54 Issue: 4 Pages: 040301-040301

    • DOI

      10.7567/jjap.54.040301

    • NAID

      210000144897

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton2015

    • Author(s)
      Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Journal Title

      Japan. J. Appl. Phys.

      Volume: 54 Issue: 4 Pages: 040303-040303

    • DOI

      10.7567/jjap.54.040303

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution2014

    • Author(s)
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • Journal Title

      Jpn. J. Appl. Phys.

      Volume: 53 Issue: 4 Pages: 040305-040305

    • DOI

      10.7567/jjap.53.040305

    • NAID

      210000143507

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [Journal Article] Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals2014

    • Author(s)
      H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • Journal Title

      Japanese Journal of Applied Physics

      Volume: 53 Issue: 1 Pages: 10209-10209

    • DOI

      10.7567/jjap.53.010209

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-21110006, KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24654191
  • [Journal Article] Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma2014

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinnya Toyokuni,Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori
    • Journal Title

      IEEE TRANSACTIONS ON PLASMA SCIENCE

      Volume: 42 Issue: 12 Pages: 3760-3764

    • DOI

      10.1109/tps.2014.2353659

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-ORGANIZER-24108001, KAKENHI-PROJECT-25860292, KAKENHI-PROJECT-25870307
  • [Journal Article] Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O2 non-equilibrium atmospheric pressure plasma jet2014

    • Author(s)
      Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • Journal Title

      Plasma Source Sci. Technol

      Volume: 23 Issue: 2 Pages: 025004-025004

    • DOI

      10.1088/0963-0252/23/2/025004

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells.2014

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori
    • Journal Title

      Plasma Medicine

      Volume: 2 Issue: 4 Pages: 55-68

    • DOI

      10.1615/plasmamed.2013008267

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-25870307
  • [Journal Article] Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells2014

    • Author(s)
      Tanaka H., Mizuno M., Ishikawa K., Nakamura K., Utsumi F., Kajiyama H., Kano H., Maruyama S., Kikkawa F., and Hori M.
    • Journal Title

      Plasma Medicine

      Volume: 2 Pages: 55-68

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25870307
  • [Journal Article] Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls2014

    • Author(s)
      Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • Journal Title

      Jpn. J. Appl. Phys.

      Volume: 53 Issue: 4 Pages: 040307-040307

    • DOI

      10.7567/jjap.53.040307

    • NAID

      210000143509

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [Journal Article] Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls2014

    • Author(s)
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • Journal Title

      Appl. Phys. Express

      Volume: 7 Issue: 4 Pages: 046201-046201

    • DOI

      10.7567/apex.7.046201

    • NAID

      210000137068

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [Journal Article] Selective cytotoxicity of indirect nonequilibrium atmospheric pressure plasma against ovarian clear-cell carcinoma2014

    • Author(s)
      F. Utsumi, H. Kajiyama, K. Nakamura, H. Tanaka, M. Hori, and F. Kikkawa
    • Journal Title

      Springerplus

      Volume: 3 Issue: 1 Pages: 398-398

    • DOI

      10.1186/2193-1801-3-398

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-ORGANIZER-24108001, KAKENHI-PROJECT-25293341, KAKENHI-PROJECT-25870307
  • [Journal Article] Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50°C2014

    • Author(s)
      Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Journal Title

      Jpn. J. Appl. Phys.

      Volume: 53 Issue: 1 Pages: 010305-010305

    • DOI

      10.7567/jjap.53.010305

    • NAID

      210000143231

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Quantitative clarification of inactivation mechanism of Penicillium digitatum spores treated with neutral oxygen radicals2014

    • Author(s)
      Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • Journal Title

      Jpn. J. Appl. Phys.

      Volume: 54 Issue: 1S Pages: 01AG05-01AG05

    • DOI

      10.7567/jjap.54.01ag05

    • Peer Reviewed / Open Access
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-26286071, KAKENHI-PROJECT-26286072
  • [Journal Article] Effect of gas flow on transport of O (3Pj) atoms produced in ac power excited non-equilibrium atmospheric-pressure O2/Ar plasma jet2013

    • Author(s)
      K. Takeda, M. Kato, F. Jia, K. Ishikawa, H. Kano, M. Sekine, and M. Hori
    • Journal Title

      J. Phys. D: Appl. Phys.

      Volume: 46 Issue: 46 Pages: 464006-464006

    • DOI

      10.1088/0022-3727/46/46/464006

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-21110006, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002
  • [Journal Article] A novel fast and flexible technique of radical kinetic behaviour investigation based on pallet for plasma evaluation structure and numerical analysis2013

    • Author(s)
      Arkadiusz Malinowski, Takuya Takeuchi, Shang Chen, Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Lidia Lukasiak, and Andrzej Jakubowski
    • Journal Title

      J. Phys. D: Appl. Phys.

      Volume: 46 Issue: 26 Pages: 265201-265201

    • DOI

      10.1088/0022-3727/46/26/265201

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-25286080
  • [Journal Article] Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls2013

    • Author(s)
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • Journal Title

      Appl. Phys. Express

      Volume: 6 Issue: 9 Pages: 095201-095201

    • DOI

      10.7567/apex.6.095201

    • NAID

      10031199873

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [Journal Article] High H Radical Density Produced by 1-m-length Atmospheric Pressure Microwave Plasma System2013

    • Author(s)
      Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori
    • Journal Title

      Jpn. J. Appl. Phys.

      Volume: 52 Issue: 11S Pages: 11NE01-11NE01

    • DOI

      10.7567/jjap.52.11ne01

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls2013

    • Author(s)
      Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • Journal Title

      Appl. Phys. Express

      Volume: 6 Issue: 4 Pages: 045103-045103

    • DOI

      10.7567/apex.6.045103

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties2013

    • Author(s)
      Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • Journal Title

      Carbon

      Volume: 68 Pages: 380-388

    • DOI

      10.1016/j.carbon.2013.11.014

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [Journal Article] Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source2013

    • Author(s)
      H. Hashizume, T. Ohta, J. Fengdong, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • Journal Title

      Applied Physics Letters

      Volume: 103 Issue: 15 Pages: 153708-153708

    • DOI

      10.1063/1.4824892

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-21110006, KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24654191
  • [Journal Article] Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma2013

    • Author(s)
      Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori
    • Journal Title

      J. Phys. D: Appl. Phys.

      Volume: 46 Issue: 10 Pages: 102001-102001

    • DOI

      10.1088/0022-3727/46/10/102001

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry2013

    • Author(s)
      Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito
    • Journal Title

      Appl. Phys. Lett.

      Volume: 103 Issue: 18

    • DOI

      10.1063/1.4827426

    • Peer Reviewed
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Journal Article] Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment2013

    • Author(s)
      Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, and Masaru Hori
    • Journal Title

      J. Appl. Phys.

      Volume: 103 Issue: 1 Pages: 14306-14306

    • DOI

      10.1063/1.4772996

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Journal Article] Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo2013

    • Author(s)
      Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa
    • Journal Title

      PLoS ONE

      Volume: 8 Issue: 12 Pages: e81576-e81576

    • DOI

      10.1371/journal.pone.0081576

    • Peer Reviewed
    • Data Source
      KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PLANNED-24108008, KAKENHI-PROJECT-24390380, KAKENHI-PROJECT-25670701, KAKENHI-PROJECT-25870307
  • [Journal Article] Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas2012

    • Author(s)
      Kenji Ishikawa, et al.
    • Journal Title

      Appl. Phys. Lett

      Volume: 101 (1) Issue: 1 Pages: 13704-13704

    • DOI

      10.1063/1.4733387

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-24654191, KAKENHI-PLANNED-21110006
  • [Journal Article] Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas2012

    • Author(s)
      Kenji Ishikawa, Hiroko Moriyama, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • Journal Title

      MRS Online Proceedings Library

      Volume: 1469

    • DOI

      10.1557/opl.2012.928

    • Peer Reviewed
    • Data Source
      KAKENHI-PROJECT-24654191, KAKENHI-PLANNED-21110006
  • [Patent] 幹細胞様細胞の調製方法2016

    • Inventor(s)
      石川健治
    • Industrial Property Rights Holder
      石川健治
    • Industrial Property Rights Type
      特許
    • Filing Date
      2016-09-30
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Patent] シュウ酸の製造方法2016

    • Inventor(s)
      石川 健治、堀 勝、倉家 尚之、田中 宏昌
    • Industrial Property Rights Holder
      石川 健治、堀 勝、倉家 尚之、田中 宏昌
    • Industrial Property Rights Type
      特許
    • Filing Date
      2016-10-08
    • Data Source
      KAKENHI-PROJECT-15H05430
  • [Patent] 細胞捕捉フィルター2016

    • Inventor(s)
      小野島大介、石川健治
    • Industrial Property Rights Holder
      小野島大介、石川健治
    • Industrial Property Rights Type
      特許
    • Filing Date
      2016-09-23
    • Overseas
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Patent] シュウ酸の製造方法2016

    • Inventor(s)
      石川 健治、堀 勝、倉家 尚之、田中 宏昌
    • Industrial Property Rights Holder
      石川 健治、堀 勝、倉家 尚之、田中 宏昌
    • Industrial Property Rights Type
      特許
    • Filing Date
      2016-10-08
    • Data Source
      KAKENHI-PROJECT-15K13390
  • [Patent] シュウ酸の製造方法2016

    • Inventor(s)
      石川健治
    • Industrial Property Rights Holder
      石川健治
    • Industrial Property Rights Type
      特許
    • Filing Date
      2016-10-08
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Patent] 細胞捕捉チップ、その製造方法および細胞捕捉方法2016

    • Inventor(s)
      小野島大介、石川健治
    • Industrial Property Rights Holder
      小野島大介、石川健治
    • Industrial Property Rights Type
      特許
    • Filing Date
      2016-09-02
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Patent] プラズマ照射培養液による殺菌方法2015

    • Inventor(s)
      堀勝、石川健治、橋爪博司、伊藤昌文、太田貴之
    • Industrial Property Rights Holder
      名古屋大学、名城大学
    • Industrial Property Rights Type
      特許
    • Industrial Property Number
      2015-029974
    • Filing Date
      2015-02-18
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Patent] 滅菌表示装置および滅菌装置および青果物表皮の殺菌方法2012

    • Inventor(s)
      石川健治、堀勝、伊藤昌文、太田貴之、橋爪博司
    • Industrial Property Rights Holder
      名古屋大学、名城大学
    • Industrial Property Rights Type
      特許
    • Filing Date
      2012-02-23
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Atomic layer etching of platinum with sequential exposure to high -density oxygen/argon plasma and formic acid vapor at low temperature2024

    • Author(s)
      Thi-Thuy-Nga Nguyen, Daijiro Akagi, Toshiyuki Uno, Takeshi Okato, Kenji Ishikawa, and Masaru Hori
    • Organizer
      ISPlasma2024/IC-PLANTS2024/APSPT-13, 2024/3/3-3/7, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Transient behavior of cycle process in Ar plasma with alternately injected C4F8 and SF62023

    • Author(s)
      Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • Organizer
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Transient behavior of cycle process in Ar plasma with alternately injected C4F8 and SF62023

    • Author(s)
      Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • Organizer
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023), 2023/11/5-8, Busan, Korea
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Chemically Active Compounds Formed in Low-temperature Plasma Treated Liquids for Cancer Treatment2023

    • Author(s)
      Camelia Miron, Hiromasa Tanaka, Taishi Yamakawa, Du Lyin, Hiroki Kondo, Hiroshi Hashizume, Takashi Kondo, Kenji Ishikawa, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
    • Organizer
      Global Plasma Forum in Aomori
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Hydrofluorocarbon Molecule Dissociation through Photoeoctron-Photoion Coincidence (PEPICO) Studies2023

    • Author(s)
      Tran Trung Nguyen, Toshio Hayashi, Hiroshi Iwayama, Shih-Nan Hsiao, Makoto Sekine, Masaru Hori and Kenji Ishikawa,
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Frontiers of Plasma Etching Technology for Advanced Semiconductor Devices2023

    • Author(s)
      Kenji Ishikawa
    • Organizer
      International Conference on Phenomena in Ionized Gases ICPIG XXXV , 2023/7/10-14, the Netherland
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Dissociative properties of C4F6 obtained using computational chemistry2023

    • Author(s)
      T. Hayashi, K. Ishikawa, M. Sekine, and M. Hori
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Low temperature plasma chemistry of aqueous solutions of amino acids. A spin trapping study2023

    • Author(s)
      Takashi Kondo, Hiroshi Hashizume, Jun Kumagai, Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Electron-Beam-Assisted Self-limiting fluorination of GaN surface using XeF2 for Atomic Layer Etching2023

    • Author(s)
      Y. Izumi, T. Tsutsumi, H Kondo, M. Sekine, M. Hori, and K. Ishikawa
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Cellular Response to Electrical Stimulation on Carbon Nanowalls Coated with Silicon Carbide2023

    • Author(s)
      Koki Ono, Hiroki Kondo, Kenji Ishikawa, Wakana Takeuchi, Kenichi Uehara, Shigeo Yasuhara, Hiromasa Tanaka and Masaru Hori
    • Organizer
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Etching Selectivities of SiO2 and SiN Against a-C Films Using CF4/H2 with a Pseudo-Wet Plasma Etching Mechanism2023

    • Author(s)
      Yusuke Imai, S. Hsiao, M. Sekine, T. Tsutsumi, K. Ishikawa, M. Iwata, M. Tamura, Y. Iijima, T. Gohira, K. Matsushima, Y. Ohya, M. Hori,
    • Organizer
      AVS 69th International Symposium and Exhibition (AVS 69), 2023/11/5-10, Portland, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] On the mechanism of high-speed SiO2 etching using hydrogen fluoride-contained plasmas at cryogenic temperature2023

    • Author(s)
      Shih-Nan Hsiao, Makoto Sekine, Nikolay Britun, Michael Kin-Ting Mo, Yusuke Imai, Takayoshi Tsusumi, Kenji Ishikawa, Yuki Iijima, Masahiko Yokoi, Ryutaro Suda, Yoshihide Kihara and Masaru Hori
    • Organizer
      Global Plasma Forum in Aomori
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Plasma etching technology: Next milestone of assurance energy and environment2023

    • Author(s)
      Kenji Ishikawa
    • Organizer
      ADMETA Plus 2023
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Investigation of anti-tumor effect mechanism by plasma irradiated L-Arginine solution2023

    • Author(s)
      Liyin Du, Carmelia Miron, Saitoshi Kashiwagura, Takashi Kondo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] A pseudo-wet plasma etching mechanism for SiO2 at cryogenic temperature using hydrogen fluoride gas with in-situ surface monitoring2023

    • Author(s)
      Shih-Nan Hsiao, Makoto Sekine, Yuki Iijima, Ryutaro Suda, Yoshinobu Ohya, Yoshihide Kihara, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori
    • Organizer
      AVS 69th International Symposium and Exhibition (AVS 69), 2023/11/5-10, Portland, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Effects of non-equilibrium atmospheric pressure plasma on zebrafish2023

    • Author(s)
      Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Unravelling Dissociation of Hydrofluorocarbon Molecules through Photoelectron-Photoion Coincidence (PEPICO) Studies2023

    • Author(s)
      Tran Trung Nguyen, Kenji Ishikawa, Toshio Hayashi, Hiroshi Iwayama, Shih-Nan Hsiao, Makoto Sekine, and Masaru Hori
    • Organizer
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023), 2023/11/5-8, Busan, Korea
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] 低温プラズマのバイオ応用~プラズマ照射効果~2023

    • Author(s)
      石川健治
    • Organizer
      第66回放射線化学研究会
    • Invited
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Morphological control of human mesenchymal stem cells with electrical stimulation on carbon nanowalls2023

    • Author(s)
      Yuki Kojima, Hiroki Kondo, Masahiro Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Plasma-based pseudo-wet mechanism for cryogenic SiO2 etching using hydrogen-contained fluorocarbon gases with an in-situ surface analysis2023

    • Author(s)
      Shih-Nan Hsiao, Makoto Sekine, Takayoshi Tsutsumi, Kenji Ishikawa, Manabu Iwata, Maju Tomura, Yuki Iijima, Taku Gohira, Keiichi Matsushima, Yoshinobu Ohya, Masaru Hori
    • Organizer
      The 76th Annual Gaseous Electronics Conference (GEC76), 2023/10/9-13, Michigan, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Etch selectivities of SiO2 and SiN against a-C films using CF4/H2 plasma at low temperature2023

    • Author(s)
      Y. Imai, S-N. Hsiao, M. Sekine, K. Ishikawa, T. Tsutsumi, M. Iwata, M. Tomura,Y. Iijima, K. Matsushima and M. Hori
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Surface reactions during atomic layer etching of platinum by high-density nitrogen-oxygen plasma and organic acid vapor2023

    • Author(s)
      Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • Organizer
      AAPPS-DPP2023, 2023/11/12-17, Nagoya, Japan
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma-driven science for emerging plasma-processing technologies2023

    • Author(s)
      Kenji Ishikawa, Hiromasa Tanaka, Hirokazu Hara, Shin-ichi Kondo, Masafumi Ito, Kazunori Koga, Masaharu Shiratani, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Surface reactions during atomic layer etching of platinum by high-density nitrogen-oxygen plasma and organic acid vapor2023

    • Author(s)
      Thi-Thuy-Nga Nguyen, Daijiro Akagi, Toshiyuki Uno, Takeshi Okato, Kenji Ishikawa, and Masaru Hori
    • Organizer
      AVS 69th International Symposium and Exhibition (AVS 69), 2023/11/5-10, Portland, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Characterization of plasmas and polymerized hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas2023

    • Author(s)
      Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Positive and negative ion behaviors in DC-imposed Ar/SF6 pulsed plasma2023

    • Author(s)
      Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, S-N. Hsaio, Makoto Sekine, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Global and local contribution analysis of process parameters in Plasma enhanced chemical vapor deposition of amorphous carbon har2023

    • Author(s)
      Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      The 4th International Conference on Data Driven Plasma Sciences ( ICDDPS-4 ), 2023/4/16-21, Okinawa, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Compositions of Ions Related with Electrode Materials in Pulsed Plasma for High-Aspect-Ratio Hole Etching2023

    • Author(s)
      K. Toji, T. Tsutsumi, S-N. Hsiao, M. Sekine, M. Hori, and K. Ishikawa
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Frontiers of Plasma Etching Technology for Advanced Semiconductor Devices2023

    • Author(s)
      Kenji Ishikawa
    • Organizer
      35th International Conference on Phenomena in Ionized Gases (ICPIG), the Neitherland
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Synthesis and characteristics of carbon nanowalls using two-step growth combining different plasma chemical vapor deposition methods2023

    • Author(s)
      Ngo Quang Minh, Ngo Van Nong, Osamu Oda, Masaru Hori and Kenji Ishikawa
    • Organizer
      Global Plasma Forum in Aomori
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] A comparative study on the CF4/H2 and HF/H2 plasmas for etching of highly hydrogenated SiN films2023

    • Author(s)
      Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      25th International Symposium on Plasma Chemistry (ISPC25), 2023/5/21-26, Kyoto, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate using High-Performance Liquid Chromatography2023

    • Author(s)
      Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Control of generating white core in grains of brewer’s rice cultivar with direct plasma irradiation to spikelet after flowering2023

    • Author(s)
      Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Shih-Nan Hsiao, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yuji Hirosue , Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Selective Cytotoxicity of Plasma-Treated L-Arginine Solution on Human Breast Cancer Cells2023

    • Author(s)
      Liyin Du, Camelia Miron, Takashi Kondo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, and Masaru Hori
    • Organizer
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Study on plasma process using adsorbed C7F14 as an etchant2023

    • Author(s)
      Kohei Masuda,Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao,Takayoshi Tsutsumi,Hiroki Kondo, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Selective removal of graphene by irradiation of remote oxygen plasma2023

    • Author(s)
      Liugang Hu, Kenji Ishikawa, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      Global Plasma Forum in Aomori
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Morphological effect of carbon nanowalls on exosome capture2023

    • Author(s)
      Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] A pseudo-wet cryogenic plasma etching of SiO2 investigated with in-situ surface monitoring2023

    • Author(s)
      S-N Hsiao, M. Sekine, K. Ishikawa, T.Tsutsumi, and M. Hori Y Iijima, R. Suda, Y. Kihara
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma Etching Technology Next Milestone of Assurance Energy and Environment2023

    • Author(s)
      Kenji Ishikawa
    • Organizer
      Advanced Metallization Conference 2023 32nd Asian Session (ADMETA Plus 2023)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Mechanisms of selective killing of cancer cells against normal cells by plasma-activated Ringer’s lactate solution.2023

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, and Masaru Hori
    • Organizer
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Etching Selectivities of SiO2 and SiN Against a-C Films Using CF4/H2 with a Pseudo-Wet Plasma Etching Mechanism2023

    • Author(s)
      Yusuke Imai, S. Hsiao, M. Sekine, T. Tsutsumi, K. Ishikawa, M. Iwata, M. Tamura, Y. Iijima, T. Gohira, K. Matsushima, Y. Ohya, M. Hori,
    • Organizer
      AVS 69th International Symposium and Exhibition (AVS 69), Portland, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Effects of Plasma Treated Water on Papaya Seed Germination2023

    • Author(s)
      Deng-Ke Xi, Xian-Hui Zhang, Si-Ze Yang, Seong Shan Yap, Kenji Ishikawa, Masaru Hori and Seong Ling Yap
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Control of etching profile by bias supply timing in cyclic process using C4F8/SF6 gas modulated plasma2023

    • Author(s)
      T. Yoshie, K. Ishikawa, T. Tsutsumi, M. Sekine, and M. Hori
    • Organizer
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Unravelling Dissociation of Hydrofluorocarbon Molecules through Photoelectron Photoion Coincidence (PEPICO) Studies2023

    • Author(s)
      Tran Trung Nguyen, Kenji Ishikawa, Toshio Hayashi, Hiroshi Iwayama, Shih-Nan Hsiao, Makoto Sekine, and Masaru Hori
    • Organizer
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Self-limited fluorination of electron-beam-irradiated GaN surface2023

    • Author(s)
      Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma-activated solutions invigorate anti-tumor immune response in the intraperitoneal environments of ovarian cancer2023

    • Author(s)
      Kae Nakamura, Nobuhisa Yoshikawa, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori and Hiroaki Kajiyama
    • Organizer
      Global Plasma Forum in Aomori
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] On the mechanism of high-speed SiO2 etching using hydrogen fluoride-contained plasmas at cryogenic temperature2023

    • Author(s)
      Shih-Nan Hsiao, Makoto Sekine, Nikolay Britun, Michael Kin-Ting Mo, Yusuke Imai, Takayoshi Tsusumi, Kenji Ishikawa, Yuki Iijima, Masahiko Yokoi, Ryutaro Suda, Yoshihide Kihara and Masaru Hori
    • Organizer
      Global Plasma Forum in Aomori , 2023/10/15-18, Aomori, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma-driven science for emerging plasma-processing technologies2023

    • Author(s)
      Kenji Ishikawa, Hiromasa Tanaka, Hirokazu Hara, Shin-ichi Kondo, Masafumi Ito, Kazunori Koga, Masaharu Shiratani, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Etching silicon oxide, silicon nitride, and polysilicon films in CH2FCHF2 hydrofluorocarbon plasma2023

    • Author(s)
      Trung-Nguyen Tran,Thi-Thuy-Nga Nguyen,Kenji Ishikawa, Shih-Nan Hsiao,Toshio Hayashi,Makoto Sekine, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma treatments in rice paddy fields: Impacts on yield and quality2023

    • Author(s)
      Kenji Ishikawa
    • Organizer
      第40回バイオテクノロジー
    • Invited
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Low temperature plasma life innovations: Functional reaction networks of radical chemistry2023

    • Author(s)
      Kenji Ishikawa
    • Organizer
      IAEA Technical Meeting on Emerging Applications of Plasma Science and Technology, Vienna
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Evaluation of Autophagy-Inducing Substances in PAL2023

    • Author(s)
      Taishi Yamakawa,Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Masaru Hori, Kenji Ishikawa and Hiromasa Tanaka
    • Organizer
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Non-Halogen Plasma for Selective Removal of Titanium Compounds Applied in Advanced Atomic Layer Etching2023

    • Author(s)
      Thi-Thuy-Nga Nguyen, K. Shinoda, S. Hsiao, H. Hamamura, Maeda, K. Yokogawa, M. Izawa, K. Ishikawa, M. Hori
    • Organizer
      23rd International Conference on Atomic Layer Deposition, 10th International Atomic Layer Etching Workshop (ALD/ALE2023), 2023/7/23-26, Washington, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Non-halogen plasma etching of metal gate TiAlC2023

    • Author(s)
      Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shih-Nan Hsiao, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Low-temperature plasma as a ferroptosis inducer in cancer cells2023

    • Author(s)
      Shinya Toyokuni, Hao Zheng, Yasumasa Okazaki, Kae Nakamura, Hiroaki Kajiyama, Hiromasa Tanaka, Keiji Ishikawa and Masaru Hori
    • Organizer
      Global Plasma Forum in Aomori
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Contribution analysis of process parameters in plasma-enhanced chemical vapor deposition of amorphous carbon2023

    • Author(s)
      Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori
    • Organizer
      ISPlasma2023 / IC-PLANTS2023
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma medicine and plasma bio related phenomena2022

    • Author(s)
      Kenji Ishikawa
    • Organizer
      2022 MRS Spring Meeting & Exhibit
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] C3H6/H2プラズマを用いた水素化アモルファスカーボン成膜における成膜前駆体と膜特性の相関関係2022

    • Author(s)
      黒川 純平、光成 正、近藤 博基、堤 隆嘉、関根 誠、石川 健治、堀 勝
    • Organizer
      第69回応用物理学会春季学術講演会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Ion Induced Damage in Plasma Enhanced Atomic Layer Etching Processing2022

    • Author(s)
      Takayoshi TSUTSUMI, Hiroki KONDO, Kenji ISHIKAWA, Makoto SEKINE, Masaru HORI
    • Organizer
      32nd Anuual Meeting on Material Researh Society of Japan (MRS-J)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Time-resolved measurement of ion energy distribution in pulsed Ar/SF6 plasma2022

    • Author(s)
      Kazuki TOJI, Kenji ISHIKAWA, Takayoshi TSUTSUMI, Shih nan HSIAO, Makoto SEKINE, Masaru HORI
    • Organizer
      32nd Anuual Meeting on Material Researh Society of Japan (MRS-J)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Synthesis, Functionalization, and Three-Dimensional Structuring of Carbon Nanomaterials By Gas-Liquid Interface Plasma2022

    • Author(s)
      H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori
    • Organizer
      242nd ECS Meeting
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Investigation of anti-tumor effect mechanism by plasma treated L-Arginine solutions2022

    • Author(s)
      Liyin DU, Camelia MIRON, Satoshi KASHIWAGURA, Takashi KONDO, Hiromasa TANAKA, Kenji ISHIKAWA, Masaaki MIZUNO, Shinya TOYOKUNI, Hiroaki KAJIYAMA, Masaru HORI
    • Organizer
      32nd Annual Symposia of Material Research Society of Japan (MRS-J)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Highly efficient exosome capture by carbon nanowalls template2022

    • Author(s)
      Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui,Yoshinobu Baba, Mineo Hiramatsu, Masaru Hori
    • Organizer
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Ar/F2プラズマとBCl3の交互供給によるAlGaN原子層エッチングでの組成比制御2022

    • Author(s)
      中村 昭平、谷出 敦、木村 貴弘、灘原 壮一、石川 健治、小田 修、堀 勝
    • Organizer
      第69回応用物理学会春季学術講演会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Surface Modification for Atomic Layer Etching of TiAlC Using Floating Wire-Assisted Liquid Vapor Plasma at Medium Pressure2022

    • Author(s)
      Thi-Thuy-Nga Nguyen, Kazunori Shinoda, H. Hamamura, Kenji Maeda, K. Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • Organizer
      22nd Atomic layer deposition and 9th atomic layer etching workshop (ALD/ALE Workshop)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Langmuir probe and Laser Photodetachment Study of Afterglow Phase in Dual RF Frequency Pulsed Plasma Etching Processes Operated with Synchronized DC Bias2022

    • Author(s)
      Makoto Sekine, Bibhuti B Sahu, Shogo Hattori, Takayoshi Tsutsumi, Nikolay Britun, Kenji Ishikawa, Hirohiko Tanaka, Taku Gohira, Noriyasu Ohno, and Masaru Hori
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Interfacial reaction of gas-liquid plasma in the synthesis of functional carbon nanomaterials2022

    • Author(s)
      Hiroki KONDO, Takayoshi TSUTSUMI, Kenji ISHIKAWA, Masaru HORI
    • Organizer
      5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials(IUMRS-ICYRAM2022)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Molecular mechanisms of cell death by plasma-activated solutions in glioblastoma cells2022

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Hiroaki Kajiyama, Shinya Toyokuni, Masaru Hori
    • Organizer
      9th International Conference on Plasma Medicine (ICPM9)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Nanoscale Dry Processes for Controlling Atomic Layer Reactions and Fabrication of High-Aspect-Ratio Features2022

    • Author(s)
      Kenji Ishikawa, Thi-Thuy-Nga Nguye, Takayoshi Tsutsumi, S-N Hsaio, Makoto Sekine, and Masaru Hori
    • Organizer
      Korean International Semiconductor Conference on Manufacturing Technology 2022 (KISM 2022)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Deposition mechanism of hydrogenated amorphous carbon film by C3H6/H2 mixture gas plasma2022

    • Author(s)
      Hiroki Kondo, Jumpei Kurokawa, Takayoshi Tsutsumi, Makoto Sekine, Kenji Ishikawa, and Masaru Hori
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Interfacial reaction of gas-liquid plasma in the synthesis of functional carbon nanomaterials2022

    • Author(s)
      Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    • Organizer
      5th International Union of Material Research Societies, International Conference of Young Researchers on Advanced Materials (IUMRS-ICYRAM 2022)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Highly efficient exosome capture by carbon nanowalls template2022

    • Author(s)
      Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu, and Masaru Hori
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] In situ monitoring hydrogen fluoride molecular density and its effects on etch selectivity of SiN over SiO2 films with hydrogen-contained fluorocarbon down-flow plasmas2022

    • Author(s)
      Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      22ND INTERNATIONAL VACUUM CONGRESS IVC-22
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma diagnostics and characteristics of hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas2022

    • Author(s)
      Shih-Nan Hsiao, Yusuke Imai, Nicolay Britun, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • Organizer
      International Symposium on Semiconductor Manufacturing (ISSM 2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Biological effects of the combination with low temperature plasmas and nanoparticles-platinum and gold-Plasma Medical & Agricultural Application2022

    • Author(s)
      Kenji Ishikawa, Takashi Kondo, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni, Masaaki Mizuno
    • Organizer
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Effectiveness of Plasma Treatment for Various Rice Cultivation2022

    • Author(s)
      Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori
    • Organizer
      9th International Conference on Plasma Medicine (ICPM9)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Machine learning and contribution analysis of radicals to the properties of hydrogenated amorphous carbon films grown by a plasma-enhanced chemical vapor deposition2022

    • Author(s)
      Hiroki Kondo, Jumpei Kurokawa, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • Organizer
      22ND INTERNATIONAL VACUUM CONGRESS IVC-22
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] 高アスペクトホールエッチングにおけるストライエーションの形成メカニズム2022

    • Author(s)
      大村 光広、橋本 惇一、足立 昂拓、近藤 祐介、石川 勝朗、阿部 淳子、酒井 伊都子、林 久貴、関根 誠、堀 勝
    • Organizer
      第69回応用物理学会春季学術講演会
    • Invited
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Gene Expression Analysis of Plasma Activated Ringer’s Lactate Solution Treated Cells2022

    • Author(s)
      Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Hiroshi Hashizume,Kae Nakamura,Hiroaki Kajiyama,Fumitaka Kikkawa,Yasumasa Okazaki,Shinya Toyokuni,Masaru Hori
    • Organizer
      MRS Spring Meetings & Exhibits
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Selective Dry Etching of TiAlC over TiN using N2/H2 Plasma Chemistry2022

    • Author(s)
      Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • Organizer
      AVS 68th International Symposium & Exhibition
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] C2F6/H2混合ガスを用いてラジカル注入型プラズマ励起化学気相堆積法により成長させたカーボンナノウォールのモフォロジー制御2022

    • Author(s)
      橋本 拓海、近藤 博基、石川 健治、堤 隆嘉、関根 誠、平松 美根男、堀 勝
    • Organizer
      The 39th Symposium on plasma processing / 34th Symposium on Plasma Science for Materials (SPP39/SPSM34)
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] C4F8/SF6ガス変調サイクルにおいてバイアス印加位相がエッチング形状に及ぼす影響2022

    • Author(s)
      吉江 泰斗、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝
    • Organizer
      第69回応用物理学会春季学術講演会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets2022

    • Author(s)
      Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, Katsuki Johzuka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori
    • Organizer
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Impact of Bias Power and Oxygen Addition on Selective Dry Etching of TiAlC over TiN Using N2/H2-based Plasmas2022

    • Author(s)
      Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, and Masaru Hori
    • Organizer
      AVS 68th International Symposium & Exhibition
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Physicochemical Investigation of Plasma Activated Liquids Organically Engineered by Cold Atmospheric Pressure Plasma for Cancer Treatment2022

    • Author(s)
      Camelia Miron, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Massaki Mizuno, Masaru Hori
    • Organizer
      9th International Conference on Plasma Medicine (ICPM9)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Synthesis, Functionalization, and Three-Dimensional Structuring of Carbon Nanomaterials By Gas-Liquid Interface Plasma2022

    • Author(s)
      H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori
    • Organizer
      242nd ECS Meeting
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Nanoscale etching technologies for nitrides and carbides2022

    • Author(s)
      Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      Second Meeting of the NTC Technical Committee on Emerging Plasma Nanotechnologies
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma-based in situ functionalization based on functional nitrogen science2022

    • Author(s)
      Kenji Ishikawa, Masaru Hori, and Toshiro Kaneko
    • Organizer
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Biological effects of the combination with low temperature plasmas and nanoparticles-platinum and gold2022

    • Author(s)
      Takashi Kondo, Kenji Ishikawa, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni, and Masaaki Mizuno
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Achieving selective etching of SiN and SiO2 over amorphous carbon during CF4/H2 by controlling substrate temperature2022

    • Author(s)
      Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma-based in situ functionalization based on functional nitrogen science2022

    • Author(s)
      Kenji Ishikawa, Masaru Hori, and Toshiro Kaneko
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma medicine and plasma bio related phenomena2022

    • Author(s)
      Kenji Ishikawa
    • Organizer
      MRS Spring Meetings & Exhibits
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Study of etching process using CHF3 gas condensed layer in cryogenic region2022

    • Author(s)
      Kuangda Sun, Chieh-Ju Liao, Shih-Nan Hsiao, Makoto Sekine, Toshiyuki Sasaki, Chihiro Abe, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    • Organizer
      1st International Workshop on Plasma Cryogenic Etching Processes (PlaCEP2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] High-Aspect-Ratioエッチングのナノスケール制御の技術進歩2022

    • Author(s)
      石川健治
    • Organizer
      プラズマプロセスを”あやつる”~半導体ドライエッチングの最新技術~
    • Invited
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] 窒化ガリウムの基板昇温時サイクルエッチング特性2022

    • Author(s)
      南 吏玖、中村 昭平、谷出 敦、石川 健治、堤 隆嘉、近藤 博基、関根 誠、堀 勝
    • Organizer
      第69回応用物理学会春季学術講演会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma-Assisted Thermal-Cyclic Etching of Silicon Germanium Selective to Germanium2022

    • Author(s)
      Kazunori Shinoda, H. Hamamura, Kenji Maeda, Masaru Izawa, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, and Masaru Hori
    • Organizer
      22nd Atomic layer deposition and 9th atomic layer etching workshop (ALD/ALE Workshop)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma-activated solutions in plasma life science2022

    • Author(s)
      Hiromasa TANAKA, Masaaki MIZUNO, Kenji ISHIKAWA, Hiroaki KAJIYAMA, Shinya TOYOKUNI, Fumitaka KIKKAWA, Masaru HORI
    • Organizer
      32nd Annual Symposia of Material Research Society of Japan (MRS-J)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Comprehensive analysis of gene expression in PAL-treated glioblastoma cells2022

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Ayako Tanaka, Yuki Shibata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Camelia Miron, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori
    • Organizer
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Plasama-activated organics in lactated solution irradiated N2-added plasma2022

    • Author(s)
      Satoshi KASHIWAGURA, Naoyuki IWATA, Kenji ISHIKAWA, Camelia MIRON, Hiroshi HASHIZUME, Masaru HORI
    • Organizer
      32nd Annual Symposia of Material Research Society of Japan (MRS-J)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Damage mitigation in atomic layer etching of GaN by cyclic exposure of BCl3 gas and F2 added Ar plasma at high substrate temperature2022

    • Author(s)
      Shohei Nakamura, Atsushi Tanide, Masafumi Kawagoe, Soichi Nadahara, Kenji Ishikawa, Osamu Oda, and Masaru Hori
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Plasma-assisted thermal-cyclic atomic-layer etching for selective removal of thin films2022

    • Author(s)
      Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • Organizer
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] The aqueous plasma therapy for ovarian cancer ~Aiming for controlling disseminated peritoneal metastasis~2022

    • Author(s)
      Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Masaru Hori
    • Organizer
      9th International Conference on Plasma Medicine (ICPM9)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Novel Hydrogen Generation Study Applying Rebound Tailing Pulse and Wet Electrode Methods2022

    • Author(s)
      Naohiro Shimizu, Osamu Oda, Ranjit R. Borude, Reiko Tanaka, Kenji Ishikawa, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue, and Masaru Hori
    • Organizer
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Transient Behaviors of Gaseous and Surface Reactions in a Cycle of Passivation and Etch Steps Using Ar-Based C4F8 and SF6 Plasma2022

    • Author(s)
      Kenji Ishikawa, Taito Yoshie, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      2022 MRS Spring Meeting & Exhibit
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Effect of reactive nitrogen species mainly nitric oxide on the germination of radish seeds2022

    • Author(s)
      Pankaj Attri, Takamasa Okumura, Fadzai L. Chawarambwa, Tika E. Putri, Nozomi Takeuchi, Kazunori Koga, Kenji Ishikawa, Kunihiro Kamataki, and Masaharu Shiratani
    • Organizer
      5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials(IUMRS-ICYRAM2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Various approaches of cold plasma treatment to brewer’s rice plant for improvement of grain quality2022

    • Author(s)
      Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Shih-Nan Hsiao, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori
    • Organizer
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] 原子層エッチングの反応素過程とその設計、制御2021

    • Author(s)
      石川 健治, Nguyen Thi-Thuy-Nga, 堤 隆嘉, 蕭 世男, 近藤 博基, 関根 誠, 堀 勝
    • Organizer
      第82回秋季応用物理学会
    • Invited
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Development of the nanocarbon coating process on metal surfaces via in-liquid plasma2021

    • Author(s)
      Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      20th Interfinish World Congress
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] In-situ analysis of surface reactions for plasma-assisted thermal-cyclic atomic layer etching of tantalum nitride2021

    • Author(s)
      Kazunori Shinoda, M. Hasegawa, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, Kenji Ishikawa, and Masaru Hori
    • Organizer
      67th AVS International Symposium and Exhibition
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Deformation properties of carbon nanowalls analyzed by nanoindentation2021

    • Author(s)
      Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Osamu Oda, Noritsugu Umehara, Kenji Ishikawa, and Masaru Hori
    • Organizer
      20th Interfinish World Congress
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] High ionization of analytes for oxygen doped carbon nanowall in surface-assisted laser desorption ionization mass spectrometry (SALDI-MS)2021

    • Author(s)
      Kenji Ishikawa, Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo, Takayuki Ohta, Mineo Hiramatsu, and Masaru Hori
    • Organizer
      20th Interfinish World Congress
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] 広がるプラズマ材料科学:半導体からバイオまで2021

    • Author(s)
      石川健治
    • Organizer
      MRM forum 2021
    • Invited
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Optical transmission of carbon nanowalls from ultra-violet region to infra-red region2021

    • Author(s)
      Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • Organizer
      Material Research Meeting (MRM 2020)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Production of plasma-activated Ringer's lactate solution with regulated surrounding atmosphere2021

    • Author(s)
      Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
    • Organizer
      31st Material Research Society in Japan (MRS-J)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Growth promotion of cultured feed Artemia irradiated with low-temperature plasma2021

    • Author(s)
      Takumi Yamauchi, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shin'ichi Akiyama, and Masaru Hori
    • Organizer
      31st Material Research Society in Japan (MRS-J)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Anti-tumor effect of plasma-activated solution produced by the flowing system2021

    • Author(s)
      Satoshi Kashiwagura, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Camelia Miron, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
    • Organizer
      31st Material Research Society in Japan (MRS-J)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Cell Death Mechanisms by Plasma Activated Medium and Plasma Activated Ringer’s Lactate Solution2021

    • Author(s)
      Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, and Fumitaka Kikkawa
    • Organizer
      8th International Conference on Plasma Medicine (ICPM8)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] C3H6 / H2プラズマを用いたアモルファスカーボン成膜において水素ガス流量比が膜特性に与える影響2021

    • Author(s)
      黒川 純平, 光成 正, 堤 隆嘉, 近藤 博基, 関根 誠, 石川 健治, 堀 勝
    • Organizer
      第82回秋季応用物理学会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Functional nitrogen science for plasma-processing in life and matter2021

    • Author(s)
      Kenji Ishikawa, Toshiro Kaneko, and Masaru Hori
    • Organizer
      Material Research Meeting (MRM 2020)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Floating wire assisted plasma with vapor injection of liquid mixtures for etching titanium compounds2021

    • Author(s)
      Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • Organizer
      67th AVS International Symposium and Exhibition
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Random forest model for property control of plasma deposited hydrogenated amorphous carbon films2021

    • Author(s)
      Junpei Kurokawa, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      42nd International Symposium on Dry Process (DPS)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Atomic layer etching of GaN using F2-added Ar plasma removal of BCl3 modified layer at high temperature2021

    • Author(s)
      Shohei Nakamura Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, and Masaru Hori
    • Organizer
      42nd International Symposium on Dry Process (DPS)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] 窒化ガリウムのプラズマエッチング中その場分光エリプソメトリー観測2021

    • Author(s)
      南 吏玖, 石川 健治, 堤 隆嘉, 近藤 博基, 関根 誠, 小田 修, 堀 勝
    • Organizer
      第82回秋季応用物理学会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Nanosecond high-voltage pulse imposed chemical vapor deposition (ns HV CVD) of sparsely isolated carbon nanowalls2021

    • Author(s)
      Tomonori Ichikawa, Kenji Ishikawa, Naohiro Shimizu, and Masaru Hori
    • Organizer
      20th Interfinish World Congress
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Analysis of Cellular Respiration in Plasma-activated Solutions-treated Cancer Cells2021

    • Author(s)
      Hiromasa Tanaka, Shogo Maeda, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinaya Toyokuni, M. Ito, K. Ohno, Fumitaka Kikkawa, and Masaru Hori
    • Organizer
      8th International Conference on Plasma Medicine (ICPM8)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Dynamical Changes in Free-radical Signals of Seeds during Water Imbibition and Seed Germination: Analysis of Plasma-Irradiation Effects Using an Electron Spin Resonance (ESR) Technique2021

    • Author(s)
      Kenji Ishikawa, Ryo Arita, Takamasa Okumura, Pankaj Attri, Kazunori Koga, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Kayo Matsuo, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Masaru Hori, and Masaharu Shiratani
    • Organizer
      8th International Conference on Plasma Medicine (ICPM8)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Enhancement of biodegradation technology of polyethylene terephthalate with plasma-pretreatment2021

    • Author(s)
      Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori
    • Organizer
      31st Material Research Society in Japan (MRS-J)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Plasma etching2021

    • Author(s)
      Kenji Ishikawa
    • Organizer
      Advanced Metallization Conference 2021
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Cellular Respiration System Affected by Low-temperature Plasma2021

    • Author(s)
      Hiromasa Tanaka, Shogo Maeda, Shogo Matsumura, Masaaki Mizuno, Kenji Ishikawa, Masafumi Ito, Hiroshi Hashizume, Mikako Ito, Kinji Ohno, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Yasumasa Okazaki, Shinya Toyokuni, and Masaru Hori
    • Organizer
      31st Material Research Society in Japan (MRS-J)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Development of new biodegradation technology by surface modification of polyethylene terephthalate using atmospheric pressure plasma2021

    • Author(s)
      Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori
    • Organizer
      20th Interfinish World Congress
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Ar/C4F8/SF6を用いたガス変調サイクルプロセスにおける活性種の挙動2021

    • Author(s)
      吉江 泰斗, 堤 隆嘉, 石川 健治, 堀 勝
    • Organizer
      第82回秋季応用物理学会
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] 広がるプラズマ材料科学:半導体からバイオまで2021

    • Author(s)
      石川健治
    • Organizer
      MRM forum 2021
    • Invited
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Efficacy for Rice Plant Growth with Plasma Irradiation to Seeds2021

    • Author(s)
      Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
    • Organizer
      8th International Conference on Plasma Medicine (ICPM8)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Effects of cold plasma treatment with rice seedlings in a paddy on yield and grain quality for different cultivars2021

    • Author(s)
      Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
    • Organizer
      31st Material Research Society in Japan (MRS-J)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H04451
  • [Presentation] Transient effects in cyclic processes on fabrications of high-aspect-ratio trenches2021

    • Author(s)
      Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    • Organizer
      42nd International Symposium on Dry Process (DPS)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-21H01073
  • [Presentation] Effect of Non-Equilibrium Atmospheric Pressure Plasma on Alcohol Fermentation of Budding Yeast2020

    • Author(s)
      Shogo Matsumura, Hiromasa Tanaka, Hiroshi Hashizume, Kenji Ishikawa, and Masaru Hori
    • Organizer
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Germination kinetics of plasma-activated seeds of lettuce lactuca sativa2020

    • Author(s)
      Kenji Ishikawa, Ryoya Sato, Kayo Matsuo, Hayate Tanaka, Masaya Hiromatsu, Ryo Arita, Tomoaki Yoshida, Pankaj Attri, Kunihiro Kamataki, Naho Itagaki, Daisuke Yamashita, Kazunori Koga, and Masaharu Shiratani
    • Organizer
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Extracellular Flux Analysis of Mitochondrial Stress on HeLa Cells in Plasma Activated Ringer’s Lactate Solution (PAL)2020

    • Author(s)
      Shogo Maeda, Hiromasa Tanaka, Kae Nakamura, Hiroshi Hashizume, Kenji Ishikawa, Kinji Ohno, Mikako Ito, Masaaki Mizuno, Fumitaka Kikkawa and Masaru Hori
    • Organizer
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Non-Equilibrium Atmospheric Pressure Plasma-Activated Organic Solutions2020

    • Author(s)
      Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Fumitaka Kikkawa, Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni, and Masaru Hori
    • Organizer
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] プラズマ生成機能性窒素ラジカル誘起の連鎖反応の統合解析に向けて2020

    • Author(s)
      石川 健治
    • Organizer
      第33回プラズマ新領域研究会
    • Invited
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Molecular Structure Analysis on Surface of Glucose Film Using Sum Frequency Generation Spectroscopy2020

    • Author(s)
      Yuta Yoshida, Takayuki Ohta, Kenji Ishikawa and Masaru Hori
    • Organizer
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Measurement of Spatial Distribution of Absolute Hydrogen Radical Density in Non- Equilibrium Atmospheric Pressure Plasma by Vacuum Ultraviolet Absorption Spectroscopy2020

    • Author(s)
      Kaede Katsuno, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Plasma-Activated Medium Induced Oxidative Stress-Dependent Cell Death on Glioblastoma Cells2020

    • Author(s)
      Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni and Fumitaka Kikkawa
    • Organizer
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Ionization Enhancement Using CNWs in Laser Desorption / Ionization Mass Spectrometry2020

    • Author(s)
      Ryusei Sakai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • Organizer
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Enhancement of Intracellular Lipids Accumulation in Plasma-Treated Coccomyxa sp.2020

    • Author(s)
      Takumi Kato, Hiromasa Tanaka, Hiroshi Hashizume, Kenji Ishikawa and Masaru Hori
    • Organizer
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Low Temperature Plasma Surface Interactions Among Multiple Phase2019

    • Author(s)
      Kenji Ishikawa, Masaru Hori
    • Organizer
      5th Asian Workshop on Applied Plasma Science and Engineering, Kuala Lumpur, Malaysia
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Enhancement of alcohol production of budding yeasts by treatment of nonequilibrium atmospheric pressure plasma2019

    • Author(s)
      Shogo Matsumura, Kenji Ishikawa, H. Tanaka, H. Hashizume, M. Ito, M. Hori
    • Organizer
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Differences of intracellular molecular mechanisms of cell death between plasmaactivated medium-treated glioblastoma cells and plasma-activated Ringer’s lactate solution-treated glioblastoma cells2019

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori
    • Organizer
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Lifetime of bactericidal efficacy in oxygen-radical-activated phenylalanine solution2019

    • Author(s)
      Naoyuki Iwata, Gamaleev Vladislav, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • Organizer
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Aqueous Reactions in Non-equilibrium Atmospheric Pressure Plasma-activated Ringer s Lactate Solutions2019

    • Author(s)
      Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Takyoshi Tsutsumi, Fumitaka Kikkawa, Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni, Hiroki Kondo, and Masaru Hori
    • Organizer
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] プラズマ誘起有機酸の前駆体が拓く超バイオ機能2019

    • Author(s)
      石川 健治, 橋爪 博司, 田中 宏昌, 吉武 淳, 柴田 貴広, 小鹿一, 伊藤 昌文, 古閑 一憲, 白谷 正治, 豊國 伸哉, 吉川 史隆, 水野 正明, 堀 勝
    • Organizer
      秋季応用物理学会(北海道大学)
    • Invited
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Optimized properties of carbon nanowall surface for laser desorption / ionization mass spectrometry2019

    • Author(s)
      Ryusei Sakai, Tomonori Ichikawa, Shun Imai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Makoto Sekine, Masaru Hori
    • Organizer
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Modification of lipid metabolism in Coccomyxa sp. treated by non-equilibrium atmosphere pressure plasma2019

    • Author(s)
      Takumi Kato, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      Material Research Meeting (MRM) 2019 (Yokohama)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Novel bactericidal method for aquaculture using benzoic-compound solutions treated with oxygen radicals2019

    • Author(s)
      Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • Organizer
      24th International Symposium on Plasma Chemistry (ISPC24)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] 低温プラズマの大気圧下での発生・発生源から生体への活性種輸送2019

    • Author(s)
      石川 健治, 田中 宏昌, 豊國 伸哉, 吉川 史隆, 水野 正明, 堀 勝
    • Organizer
      第72 回日本酸化ストレス学会(札幌)
    • Invited
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Chemical reaction analysis on surface of glucose-film using sum-frequency generation spectroscopy2019

    • Author(s)
      Yuta Yoshida, Ryoya Katuya, Takayuki Ohta, Kenji Ishikawa, and Masaru Hori
    • Organizer
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Mechanism of Selective Killing of Glioblastoma to Astrocytes in PlasmaActivated Lactate2019

    • Author(s)
      Yugo Hosoi, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masaaki Mizuno, Fumitaka Kikkawa and Masaru Hori
    • Organizer
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Surface reaction analysis of plasma-treated bio-molecules using sum frequency generation spectroscopy2019

    • Author(s)
      Takayuki Ohta, Ryoya Katsuya, Kenji Ishikawa, and Masaru Hori
    • Organizer
      24th International Symposium on Plasma Chemistry (ISPC24)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Intracellular response of HeLa cells treated by plasma-activated Ringer's lactate solution2019

    • Author(s)
      Shogo Maeda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
    • Organizer
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Radical activated solutions with bactericidal and plant-growth effects2019

    • Author(s)
      Masafumi Ito, Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, Kenji Ishikawa, and Masaru Hori
    • Organizer
      72nd Annual Gaseous Electronics Conference (GEC) (Texas, USA)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Cell response in treatment of plasma-activated Ringer’s acetate2019

    • Author(s)
      Yuki Suda, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
    • Organizer
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Intracellular Reactive Oxygen Species Responses of HeLa Cells Treated with PlasmaActivated Organics2019

    • Author(s)
      Shogo Maeda, Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • Organizer
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Regulation of Cell Proliferation Changing Duty Ratio of an Electrical Stimulation on Carbon Nanowalls Scaffold2019

    • Author(s)
      Tomonori Ichikawa, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori
    • Organizer
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Cytotoxicity of Plasma-Activated Glucose and Amino Acids2019

    • Author(s)
      Maho Yamada, Nanami Ito, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori
    • Organizer
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Similarities and differences of biological networks between PAM- and PAL-treated glioblastoma cells2019

    • Author(s)
      Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, and Fumitaka Kikkawa
    • Organizer
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Non-thermal plasma as a powerful tool to synthesize bio-effective materials in solutions2019

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
    • Organizer
      Material Research Meeting (MRM) 2019 (Yokohama)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Bactericidal activity in oxygen-radical-activated water2019

    • Author(s)
      Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • Organizer
      24th International Symposium on Plasma Chemistry (ISPC24)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Gene expression dynamics of glioblastoma cells in plasma-activated medium and plasma-activated Ringer’s lactate solution2019

    • Author(s)
      Hiromasa Tanaka, Masaru Hori, Masaaki Mizuno, Kenji Ishikawa, H. Kondo, H. Hashizume, T. Tsutsumi, K. Nakamura, N. Yoshikawa, H. Kajiyama, Shinya Toyokuni, and Fumitaka Kikkawa
    • Organizer
      24th International Symposium on Plasma Chemistry (ISPC24)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Behavior of metastable Ar atom generated in microwave excited atmospheric pressure Ar gas plasma jet in open air2019

    • Author(s)
      Keigo Takeda, Fumiya Satake, Masaki Kataoka, Mineo Hiramatsu, Kenji Ishikawa, Masaru Hori
    • Organizer
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Spectroscopic diagnostics of microwave excited atmospheric pressure ar plasma jet in open air2019

    • Author(s)
      Keigo Takeda, Mineo Hiramatsu, Kenji Ishikawa, and Masaru Hori
    • Organizer
      72nd Annual Gaseous Electronics Conference (GEC) (Texas, USA)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Generation of bactericidal efficacy in neutral ph range using oxygen-radical treatment of organic compound2019

    • Author(s)
      Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • Organizer
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Intracellular responses of coccomyxa sp. during culture in plasma-treated nutrient solution2019

    • Author(s)
      Takumi Kato, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Yield improvement of rice plants with cold plasma treatment in paddy field during cultivation2019

    • Author(s)
      Hiroshi Hashizume, Hidemi Kitano, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
    • Organizer
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Morphological Dynamics of Dying Cells Incubated in Plasma-Activated Medium2019

    • Author(s)
      Nanami Ito, Maho Yamada, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori
    • Organizer
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] プラズマ誘起液相ラジカル連鎖反応2019

    • Author(s)
      石川 健治, 堀勝,古閑一憲,白谷正治
    • Organizer
      第35回 九州・山口プラズマ研究会(対馬)
    • Invited
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Physics and chemistry in low-temperature plasma bioapplications2019

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
    • Organizer
      The 7th East Asia Joint Symposium on Plasma and Electrostatics Technologies for Environmental Applications (EAPETEA-7)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] In-liquid plasma formation at low temperature of in situ binding SnO2/graphene2019

    • Author(s)
      Kenji Ishikawa, Rajit R. Borude, Hirotsugu Sugiura, Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori
    • Organizer
      10th International workshop on microplasma (IWM)
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Growth Promotion Effect of Oxygen-Radical-Treated Phenylalanine Solutions2019

    • Author(s)
      Naoyuki Iwata, Vladislav Gamaleeve, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • Organizer
      Material Research Meeting (MRM) 2019 (Yokohama)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Gene expression analyses revealed the differences of intracellular molecular mechanisms of PAM- and PAL-induced cell death2019

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
    • Organizer
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] AC励起非平衡大気圧Arプラズマ生成活性種の時空間分布計測2018

    • Author(s)
      倉増 廉, 竹田 圭吾, 石川 健治, 堤 隆嘉, 橋爪 博司, 田中 宏昌, 近藤 博基, 関根 誠, 堀 勝
    • Organizer
      第65回 応用物理学会 春季学術講演会
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] プラズマ活性乳酸処理グリオーマのメタボロミクス解析2018

    • Author(s)
      細井 祐吾, 石川 健治, 田中 宏昌, 橋爪 博司, 豊國 伸哉, 吉川 史隆, 水野 正明, 堀 勝
    • Organizer
      第65回 応用物理学会 春季学術講演会
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Time evolution of reactive oxygen and nitrogen species in plasma-activated media2018

    • Author(s)
      Timothy Brubaker, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      ISPLasma - 10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials March 04-08, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Mechanisms of plasma jets impinging upon liquids2018

    • Author(s)
      T. Brubaker, K. Ishikawa, H. Hashizume, H. Tanaka, T. Tsutsumi, H. Kondo, S. Knecht, S. Bilen, and M. Hori
    • Organizer
      7th International Conference on Plamsa Medicine (ICPM7), Drexekl University Philadelphia, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] プラズマ活性ラクテック(PAL)で培養処理によるしたヒーラ(HeLa)細胞応答メカニズム2018

    • Author(s)
      前田 昌吾, 細井 祐吾, 石川 健治, 橋爪 博司, 田中 宏昌, 堀 勝
    • Organizer
      第65回 応用物理学会 春季学術講演会
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] プラズマ活性ラクテックの抗腫瘍効果物質の解明2018

    • Author(s)
      細井 祐吾, 石川 健治, 橋爪 博司, 田中 宏昌, 吉川 史隆, 水野 正明, 堀 勝
    • Organizer
      第65回 応用物理学会 春季学術講演会
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Intracellular responses in apoptotic cells to reactive species in plasma treated liquids2018

    • Author(s)
      K. Ishikawa, H. Tanaka, T. Kondo, S. Toyokuni, F. Kikkawa, M. Mizuno, and M. Hori
    • Organizer
      7th International Conference on Plamsa Medicine (ICPM7), Drexekl University Philadelphia, USA
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] カーボンナノウォール足場上の細胞増殖に及ぼす電気刺激効果2018

    • Author(s)
      市川知範、近藤博基、橋爪博司、田中宏昌、堤隆義、石川健治、堀勝
    • Organizer
      第65回応用物理学会春季学術講演会
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Low temperature plasma surface interactions for their future basic researches and applications2018

    • Author(s)
      K. Ishikawa, M. Itou, and M. Hori
    • Organizer
      22nd International Conference Gas Discharges and Their Applications, Serbia
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Cell response mechanisms of HeLa cells cultured with plasma-activated lactate Ringer’s solution (PAL)2018

    • Author(s)
      S. Maeda, Y. Hosoi, K. Ishikawa, H. Hashizume, H. Tanaka, T. Takayoshi, H. Kondo, M. Sekine, and M.Hori
    • Organizer
      28th Materials Research Society of Japan (MRS-J) , Kitakyusyu International Conference Center, Fukuoka, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] プラズマ活性乳酸の物理化学解析2018

    • Author(s)
      細井 祐吾, 石川 健治, 橋爪 博司, 田中 宏昌, 吉川 史隆, 水野 正明, 堀 勝
    • Organizer
      第65回 応用物理学会 春季学術講演会
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Similarities and Differences in Gene Transcription in Plasma-activated Medium Treated and Plasma-activated Ringer’s Lactate Solution Treated Glioblastomas2018

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, H. Hashizume, H. Kondo, M. Sekine, K. Nakamura, H. Kajiyama, S. Akiyama, S. Maruyama, S. Toyokuni, F. Kikkawa, M. Hori
    • Organizer
      7th International Conference on Plamsa Medicine (ICPM7), Drexekl University Philadelphia, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Inhomogeneity of nitrite anion concentrations in depth and time by convection during and after plasma-liquid interactions2018

    • Author(s)
      Timothy R. Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Masafumi Ito, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bil?n, and Masaru Hori
    • Organizer
      2nd International Workshop on Plasma Agriculture, March 9-12, 2018, Takayama, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Nonequilibrium atmospheric pressure plasma activates organic substances for antitumor effects2018

    • Author(s)
      Kenji Ishikawa, Yugo Hosoi, Hiromasa Tanaka, Hiroshi Hashizume, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
    • Organizer
      40th International Symposium on Dry Process (DPS2018), Toyoda Auditorium, Nagoya University, Nagoya, Aichi, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Biological Effects of Plasma-Irradiated Oraganic Molecules in Plasma-Treated Liquids2018

    • Author(s)
      Kenji Ishikawa, Y. Hosoi, D.Kanno, Y. Kurokawa, H. Tanaka, M. Mizuno, F.Kikkawa, M.Hori
    • Organizer
      AVS 65th INTERNATIONAL SYMPOSIUM & EXHIBITION 2018, Long Beach, California, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Plasma-activated medium and plasma-activated Ringer’s lactate solution exhibited anti-tumor effects through different mechanisms2018

    • Author(s)
      Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      ISPLasma - 10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials March 04-08, 2018
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Anti-proliferative effect of glyoxylate generated in plasma-activated lactate solution2018

    • Author(s)
      Y. Hosoi, K. Ishikawa, H. Tanaka, H. Hashizume, F. Kikkawa, M. Mizuno and M. Hori
    • Organizer
      28th Materials Research Society of Japan (MRS-J) , Kitakyusyu International Conference Center, Fukuoka, Japan
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] プラズマ活性ラクテック中脂質二重膜形状の高速原子間力顕微鏡観察2018

    • Author(s)
      山岡 壮太郎, 戸波 卓也, 橋爪 博司, 近藤 博基, 石川 健治, 田中 宏昌, 堀 勝
    • Organizer
      第65回 応用物理学会 春季学術講演会
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] 酸素ラジカル照射された馬肉水抽出液のESR測定2017

    • Author(s)
      北田 悠人、林 利哉、石川 健治、堀 勝、伊藤 昌文
    • Organizer
      第64回応用物理学会春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Time Evolution of Reactive Oxygen Nitrogen Species in Plasma-Activated Liquids2017

    • Author(s)
      Timothy R. Brubaker, K.Ishikawa, K.Takeda, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 医療・バイオ応用プラズマ技術2017

    • Author(s)
      石川 健治、堀勝
    • Organizer
      電子情報技術部会・エレクトロニクス交流会エレクトロ二クス応用技術講演企画WG 講演会「プラズマエレクトロニクスの新展開」
    • Place of Presentation
      新化学技術推進協会会館
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Measurements of reactive species and VUV radiators generated by AC excited atmospheric pressure Ar plasma jet in open air2017

    • Author(s)
      Keigo Takeda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      AEPSE 2017, Jeju International Convention Center, Jeju Island, Republic of Korea, September 11-15, 2017
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] プラズマ活性培養液の抗腫瘍効果の増強2017

    • Author(s)
      細井 祐吾,古田 凌, 倉家 尚之, 石川 健治, 橋爪 博司, 田中 宏昌, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] A Mechanism on Egneration of H2O2 and NO2- in Plasma Activated Medium2017

    • Author(s)
      N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno,K.Takeda, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Behavior of OH Radical in Gas-Liquid Interface Region on Ac Excided Non-Equilibrium Atmospheric Pressure Plasma Jet Irradiation to Liquid2017

    • Author(s)
      R. Kuramashi, K.Takeda, K.Ishikawa, H.tanaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effect of shape and conductivity of carbon nanowalls scaffold on cell morphology and proliferation2017

    • Author(s)
      Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • Organizer
      Workshop on advanced plasma applications on bio and nanomaterials
    • Place of Presentation
      Nagoya University
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] カーボンナノウォール足場上での電気刺激が細胞増殖と接着形態に及ぼす効果2017

    • Author(s)
      市川 知範, 田中 彗貴, 近藤 博基, 橋爪 博司,田中 宏昌, 竹田圭吾, 石川 健治, 関根 誠, 堀 勝
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Investigation the effects of gas flow rate on atmospheric pressure plasma2017

    • Author(s)
      Yu-Chun Lin, Kenji Ishikawa, Masaru Hori, and Meng-Jiy Wang
    • Organizer
      APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, December 15-17, 2017. Taiwan.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Observation of intracellular-molecular changes in plasma-irradiated cells using multiplex coherent anti-Stokes Raman scattering microscopy2017

    • Author(s)
      Takayuki Ohta, Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Masafumi Ito, and Masaru Hori
    • Organizer
      AEPSE 2017, Jeju International Convention Center, Jeju Island, Republic of Korea, September 11-15, 2017
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] 酵母菌を用いたプラズマ活性水の評価2017

    • Author(s)
      中村 昭平、堀越 章,、高辻 茂、河野 元宏,、木瀬 一夫、橋爪 博司、石川 健冶、堀 勝
    • Organizer
      第64回応用物理学会春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 高速原子間力顕微鏡を用いたプラズマ活性培養液内の 活性酸素窒素種による支持脂質二重膜変化の解析2017

    • Author(s)
      戸波卓也, 倉家尚之, 橋爪博司, 近藤博基, 石川健治, 竹田圭吾, 田中宏昌, 関根誠, 伊藤昌文, 手老龍吾, 堀 勝
    • Organizer
      第34回 プラズマプロセシング研究会/第29回 プラズマ材料科学シンポジウム
    • Place of Presentation
      北海道大学・学術交流会館
    • Year and Date
      2017-01-16
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma technology for medicine and bio application2017

    • Author(s)
      Kenji Ishikawa
    • Organizer
      International Workshop on advanced plasma applications on bio and nanomaterials
    • Place of Presentation
      Nagoya, Japan
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Programmed process for synthesis of calcium oxalate crystals in a bufferred glucose solution by irradiation with non-equilibrium atmospheric-pressure plasma2017

    • Author(s)
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi, Yuzuru Ikehara, and Masaru Hori
    • Organizer
      iPlasmaNano-VIII July 2-6, 2017. the University of Antwerp, Antwerp, Belgium.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Interaction of Plasma with Cells for Agriculture and Biomedical Applications2017

    • Author(s)
      M.Hori, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda, H,Hashizume, H.Tanaka, F.Kikkawa, M.Mizuno, M.Ito
    • Organizer
      AJC-APSE
    • Place of Presentation
      Nong Lam Univsersity
    • Year and Date
      2017-01-11
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] フラレノール添加プラズマ活性培養液の抗腫瘍効果2017

    • Author(s)
      神農 大輝,倉家 尚之,田中 宏昌,石川 健治,橋爪 博司,竹田 圭吾,近藤 博基,関根 誠,堀 勝
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Quantitative pH imaging of plasma‐treated liquids using bromothymol blue2017

    • Author(s)
      Timothy Ryan Brubaker, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori
    • Organizer
      APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, December 15-17, 2017. Taiwan.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] レーザー誘起プラズマ活性培養液(LPAM)内活性種生成と抗腫瘍効果2017

    • Author(s)
      黒川幸宏,倉家尚之,竹田圭吾,石川健治,橋爪博司, 田中宏昌,近藤博基,関根誠,堀勝
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] カーボンナノウォールを用いた表面支援レーザー脱離/イオン化質量分析法によるペプチドの測定2017

    • Author(s)
      伊藤 寛納、太田 貴之、石川 健治、近藤 博基、平松 美根男、堀 勝
    • Organizer
      第78回応用物理学会秋季学術講演会 於:福岡国際会議場
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] 未来の健康長寿社会を実現するプラズマ医療技術への挑戦2017

    • Author(s)
      石川健治,田中宏昌,豊國伸哉, 水野正明,吉川史隆,堀勝
    • Organizer
      プラズマカンファレンス 於:姫路
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Dynamic Observations on Morphology of HeLa Cell Membranes in Plasma Activated Medium by high-speed Atomic Force Microscopy2017

    • Author(s)
      S.Yamaoka, Y.Tonami, H.Hashizume, H.Kondo, K.Ishikawa, K.Takeda, H.Tanaka, M.Sekine, M.Ito, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Antitumor Effects of Laser-Generated Plasma Activated Medium (LPAM) with and without Catalase2017

    • Author(s)
      Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 非平衡大気圧プラズマ源の構造検討2017

    • Author(s)
      勝野 楓、石川 健治、堤 隆嘉、竹田 圭吾、橋爪 博司、田中 宏昌、近藤 博基、関根 誠、堀 勝
    • Organizer
      第78回応用物理学会秋季学術講演会 於:福岡国際会議場
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] カーボンナノウォールを用いた表面支援レーザー脱離/イオン化質量分析法によるペプチドの分析2017

    • Author(s)
      伊藤 寛納、太田 貴之、石川 健治、近藤 博基、平松 美根男、堀 勝
    • Organizer
      プラズマカンファレンス 於:姫路
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Carbon nanowall (CNW) electrochemical H2O2 sensor2017

    • Author(s)
      M. Tomatsu, M. Hiramatsu, K. Ishikawa, H. Kondo, M. Hori
    • Organizer
      The 39th International Symposium on Dry Process (DPS2017)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] プラズマ活性ラクテック (PAL)内成分の物理化学解析と抗腫瘍効果の解明2017

    • Author(s)
      細井 祐吾、石川 健治、田中 宏昌、橋爪 博司、水野 正明、堀 勝
    • Organizer
      プラズマカンファレンス 於:姫路
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Multiplex Coherent Anti-Stokes Raman Scattering (CARS) Observations of HeLa Cells Cultured in Non-equilibrium Atmospheric Pressure-Plasma-Activated Medium (PAM)2017

    • Author(s)
      Kenji Ishikawa, Ryo Furuta, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      AVS - 4th International Symposium and Exhibition, October 29-November 03, 2017. Tampa, Florida, USA
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Anti-Tumor Effects of Plasma-Activated Medium Which Contains Fullerenol2017

    • Author(s)
      D.Kanno, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性培養液処理した細胞内酸化窒素活性種(RONS)の解析2017

    • Author(s)
      石川 健治, 田中 宏昌, 橋爪 博司, 竹田 圭吾, 太田 貴之, 伊藤 昌文, 中村 香江, 梶山 広明, 吉川 史隆, 豊國 伸哉, 水野 正明, 堀 勝
    • Organizer
      第70回日本酸化ストレス学会学術集会 於:つくば国際会議場
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Ambient control during preparations of the plasma-activated medium (PAM) for antitumor effects2017

    • Author(s)
      Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      AEPSE 2017, Jeju International Convention Center, Jeju Island, Republic of Korea, September 11-15, 2017
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Dynamics of Intracellular Responses in HeLa Cells Cultured in Non-equilibrium Atmospheric Pressure-Plasma-treated Medium (PAM)2017

    • Author(s)
      Kenji Ishikawa
    • Organizer
      APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, December 15-17, 2017. Taiwan.
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Effects of dielectric walls on atmospheric-pressure plasma discharges2017

    • Author(s)
      Kaede Katsuno, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, December 15-17, 2017. Taiwan.
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Enhancement of Cancer Cell Killing Effect of Hydrogen Peroxide by Nitrite Existence in Plasma Activate Medium2017

    • Author(s)
      N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Time evolution of reactive oxygen nitrogen species in plasma-activated liquids2017

    • Author(s)
      Timothy Brubaker, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Efficacy of Intraperitoneal Therapy with Plasma-Activated Medium (PAM) Targeting Micrometastasis in Gastric Cancer in Nude Mice2017

    • Author(s)
      H.Nakamishi, H.Tanaka, K.Ishikawa, M.Hori, Y.Ikehara
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Antitumor Effect of Culture Medium Irradiated with Non-Equilibrium Atmospheric Pressure Plasmas under Purge of Ambient by N2 and O2 Mixtures2017

    • Author(s)
      Y.Hosoi, R.Furuta, N.Kurake, K.Ishikawa, H.Hashizume,H.Tanaka, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Elucidation of the Effects of Plasma-Activated Medium on Supported Lipid Bilayers by employing High-Speed Atomic Force Microscopy2017

    • Author(s)
      T.Tonami, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性培養液(PAM)処理グリオーマのメタボロミクス解析2017

    • Author(s)
      倉家 尚之, 田中 宏昌, 石川 健治, 橋爪 博司 中村 香江, 梶山 広明, 吉川 史隆, 水野 正明, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Comparison of Inflammation after Hemostasis with Non-Thermal Plasma and thermal Coagulation by Using Molecular Imagining Technique2017

    • Author(s)
      M.Ueda, D.Yamagami, A.Morioka, K.Watanabe, K.Sano, A.Mori, H.Kimura, H.Saji, H.Sakakita, Y.Ikehara, K.Ishikawa, M.Hori, S.Enomoto
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] State of The Arts in Plasma Medical Science2017

    • Author(s)
      M.Hori, H.Tanaka, K.Ishikawa, K.Takeda, H.Hashizume, H.Kondo,M.Sekine, M.Mizuno, S.Toyokuni, F.Kikkawa
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effect of Plasma-Treatment of The In Vitro Growth Of Trypanosoma Brucei2017

    • Author(s)
      N.Yokoyama, H.Sakakita, Y.Akimoto, T.Sivalumar, M.Inoue, K.Ishikawa, M.Hori, Y.Ikehara
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Intracellular Changes of Reactive Oxygen and Nitrogen Species in HeLa Cells Induced by Plasma-Activated Medium2017

    • Author(s)
      R.Furuta, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 医療・バイオ応用プラズマ技術2017

    • Author(s)
      石川健治
    • Organizer
      電子情報技術部会 エレクトロニクス交流会エレクトロニクス応用技術講演会「プラズマエレクトロニクスの新展開」
    • Place of Presentation
      東京都千代田区
    • Year and Date
      2017-03-29
    • Invited
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Intracellular responses during incubation in plasma-activated cell culture medium (PAM)2017

    • Author(s)
      Kenji Ishikawa, Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      27th annual meeting of MRS-J, Yokohama, Japan, December 5-7, 2017
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] Anti-Cancer Effect on Cancer-Initiating Cells Treated with Plasma-Activated Medium(PAM) in Cancer Cells2017

    • Author(s)
      J.Ikeda, H.Tanaka, K.Ishikawa, H.Sakakita, Y.Ikehara, M.Hori
    • Organizer
      The 1st International Conference on Plasma medical Science Innovation
    • Place of Presentation
      Nagoya University, Noyori Conference Hall
    • Year and Date
      2017-02-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ照射有機溶液を用いたシュウ酸カルシウム結晶の生成機構2017

    • Author(s)
      岡部 萌、倉家 尚之、石川 健治、田中 宏昌、橋爪 博司、堤 隆嘉、近藤 博基、関根 誠、堀 勝
    • Organizer
      第78回応用物理学会秋季学術講演会 於:福岡国際会議場
    • Data Source
      KAKENHI-PROJECT-17H02805
  • [Presentation] A Novel Controlling Method of differentiation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulation2017

    • Author(s)
      Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Kenji Ishikawa, Hiroshi Hasizume, Hiromasa Tanaka and Masaru Hori
    • Organizer
      Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] マルチプレックスCARSによるプラズマと細胞の相互作用の観察2017

    • Author(s)
      古田 凌、石川 健治、橋爪 博司、田中 宏昌、竹田 圭吾、太田 貴之、近藤 博基、伊藤 昌文、関根 誠、堀 勝
    • Organizer
      第64回応用物理春季学術講演会
    • Place of Presentation
      パシフィコ横浜
    • Year and Date
      2017-03-14
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性培養液処理をしたHeLa細胞の細胞内応答解析2017

    • Author(s)
      古田 凌, 石川 健治, 橋爪 博司, 田中 宏昌, 竹田 圭吾, 太田 貴之, 近藤 博基, 伊藤 昌文, 関根 誠, 堀 勝
    • Organizer
      第34回 プラズマプロセシング研究会/第29回 プラズマ材料科学シンポジウム
    • Place of Presentation
      北海道大学・学術交流会館
    • Year and Date
      2017-01-16
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ励起化学気相堆積法で成長したア モルファスカーボン膜の吸収端近傍X線吸収微細構造における高周波電&#63882;依存性2016

    • Author(s)
      杉浦啓嗣、&#63747;&#63829;雲、佐藤俊一、近藤博基、石川健治、竹田圭吾、関根誠、堀勝
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東京工業大学大岡山キャンパス
    • Year and Date
      2016-03-19
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Induction of human breast cancer cells to selective death by plasma-activated medium2016

    • Author(s)
      Hiroshi Hashizume, Hiromasa Tanaka, Kae Nakamura, Hiroyuki Kano, Kenji Ishikawa, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
    • Organizer
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Dynamic behavior of HeLa cells in plasma-activated medium2016

    • Author(s)
      R.Furuta, H.hashizume, K.Ishikawa, H.Tanaka, K.Takeda, T. Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] A Novel Controlling Method of Proliferation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulation2016

    • Author(s)
      Suiki Tanaka, Tomonori Ichikawa, Hiroki Kondo, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • Organizer
      The 26th annual meeting of MRS-J
    • Place of Presentation
      Yokohama, Japan
    • Year and Date
      2016-12-19
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-activated Medium (PAM) and Metabolic Analysis of Glioblastoma (U251SP)2016

    • Author(s)
      Kenji Ishikawa
    • Organizer
      International Symposium on Material Research Society Japan (MRS-J)
    • Place of Presentation
      Yokohama, Japan
    • Year and Date
      2016-12-19
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Gas-Phase Diagnostics of AC Excited Non-equilibrium Atmospheric Pressure Plasma Jet for Biomedical Application2016

    • Author(s)
      T. Kumakura, K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, Y. Nakai, M. Sekine, M. Hori
    • Organizer
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] CE-TOF-MS analysis of aerobic glycolysis metabolism on glioblastoma (U251SP) modified in electrically discharged plasma activated medium (PAM) cultivation2016

    • Author(s)
      Kenji Ishikawa
    • Organizer
      6th NU-SKKU Joint Symposium on Neuroscience was held at Center of Neuroscience Imaging Research
    • Place of Presentation
      Suwon, Korea
    • Year and Date
      2016-11-24
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] A Novel Controlling Method of Proliferation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulation2016

    • Author(s)
      Suiki TANAKA, Tomonori ICHIKAWA, Hiroki KONDO, Kenji ISHIKAWA, Hiroshi HASHIZUME, Hiromasa TANAKA, Makoto SEKINE, Masaru HORI
    • Organizer
      The 26th annual meeting of Materials Research Society-Japan
    • Place of Presentation
      Yokohama
    • Year and Date
      2016-12-19
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Effects of Residence Time on Growth Characteristics and Properties of Amorphous Carbon Films Grown by Radical-Injection Plasma-Enhanced Chemical Vapor Deposition2016

    • Author(s)
      H. Sugiura, L. Jia, S. Sato, H. Kondo, K. Takeda, K. Ishikawa M. Sekine and M. Hori
    • Organizer
      2016 Materials Research Society Fall Meeting & Exhibit, Boston, Massachusetts
    • Place of Presentation
      Boston, Massachusetts, United States
    • Year and Date
      2016-11-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Plasma-activated medium and Cancer2016

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, H. Kondo, H. Hashizume, K. Nakamura, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, and M. Hori
    • Organizer
      ICPM6
    • Place of Presentation
      Slovak University of Technology
    • Year and Date
      2016-09-04
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15K13390
  • [Presentation] プラズマ活性培養液処理による乳がん細胞の殺傷および増殖の観察2016

    • Author(s)
      橋爪博司, 田中宏昌, 中村香江, 吉川史隆, 石川健治, 加納浩之, 水野正明, 堀勝
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東京工業大学 大岡山キャンパス
    • Year and Date
      2016-03-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-activated medium and Cancer2016

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, H. Kondo, H. Hashizume, K. Nakamura, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, and M. Hori
    • Organizer
      ICPM6
    • Place of Presentation
      Slovak University of Technology
    • Year and Date
      2016-09-04
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H05430
  • [Presentation] Comparison of Inflammation after hemostasis with non-thermal plasma or thermal coagulation: A histological and nuclear medical evaluation2016

    • Author(s)
      M. Ueda, D.Yamagami, A.Morioka, K.Watanabe, K.Sano, A.Mori, H.Kimra, H.Saji, H.Sakakita, Y.Ikehara, K.Ishikawa, M.Hori, S.Enomoto
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] なぜプラズマは生体に作用するか2016

    • Author(s)
      石川健治
    • Organizer
      第17回プラズマ医療 サイエンスの扉&サイエンスカフェ
    • Place of Presentation
      名古屋
    • Year and Date
      2016-12-16
    • Invited
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Antitumor effect of Plasma-Activated-Medium (PAM) added with scavengers2016

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Sterilization efficiency enhancement by using magnet-assisted-plasma jet2016

    • Author(s)
      Chih-Tung Liu, Takumi Kumakura, Kenji Ishikawa, Hiroshi Hashizume, Keigo Takeda, Masafumi Ito, Masaru Hori, and Jong-Shinn Wu
    • Organizer
      ISPlasma 2016/9th IC-PLANTS
    • Place of Presentation
      Nagoya University
    • Year and Date
      2016-03-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Latent Chemistry in Plasma activated medium (PAM)2016

    • Author(s)
      Kenji Ishikawa
    • Organizer
      20th International Vacuum congress (IVC-20)
    • Place of Presentation
      Busan, Korea
    • Year and Date
      2016-08-21
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Selective killing of human breast cancer cell by plasma-activated medium2016

    • Author(s)
      H.Hashizume, H.Tanaka, K.Nakaura, H.Kano, K.Ishikawa, F.Kikkawa, M.Mizuno, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Atomic oxygen behavior at downstream of AC excited atmospheric pressure He plasma jet2016

    • Author(s)
      Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • Organizer
      69th Annual Gaseous Electronics Conference
    • Place of Presentation
      Bochum, Germany
    • Year and Date
      2016-10-10
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Mechanisum of blood coagulation induced by plasma treatment in addition to the acceleration of the natural blood coagulation process2016

    • Author(s)
      Y.Ikehara, S.Ikehara, Y,.Akimoto, H.sakakita, K.Ishikawa, M.Ueda, J.Ikeda, J.Kim, Y.Yamaguchi, H.Nakanishi, T.Shimizu, N.Shimizu, M. Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性培養液(PAM)内活性酸素・窒素種の解析2016

    • Author(s)
      倉家尚之、田中宏昌、石川健治、橋爪博司、中村香江、梶山広明、吉川史隆、近藤隆、水野正明、竹田圭吾、近藤博基、関根誠、堀勝
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東京工業大学 大岡山キャンパス
    • Year and Date
      2016-03-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Influence of ion bombardment on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2016

    • Author(s)
      H. Sugiura, L. Jia , H. Kondo, K. Ishikawa, K. Takeda, M Sekine, M. Hori
    • Organizer
      The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells
    • Place of Presentation
      Welli Hilli Park, Kangwon Province, Korea
    • Year and Date
      2016-02-17
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Molecular vibrational imaging of plasma-induced biological samples2016

    • Author(s)
      R.Furuta, H.Hashizume, K.Ishikawa, H.Tanaka, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • Organizer
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • Place of Presentation
      Inuyama, Japan
    • Year and Date
      2016-06-26
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性培養液中のHeLa細胞の動的観察2016

    • Author(s)
      古田 凌、橋爪博司、石川健治、田中宏昌、竹田圭吾、太田貴之、近藤博基、関根誠、堀勝
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東京工業大学 大岡山キャンパス
    • Year and Date
      2016-03-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of activated species generated by non-equilibrium atmospheric pressure plasmas for plasma biomedical applications2016

    • Author(s)
      K.Ishikawa, K.Takeda, H. Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • Place of Presentation
      Inuyama, Japan
    • Year and Date
      2016-06-26
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性培養液による抗腫瘍効果の制御とその細胞内分子機構2016

    • Author(s)
      田中宏昌、水野正明、石川健治、竹田圭吾、橋爪博司、中村香江、梶山広明、加納浩之、岡崎泰昌、豊國伸哉、吉川史隆、堀勝
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東京工業大学 大岡山キャンパス
    • Year and Date
      2016-03-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma agriculture employing atmospheric-pressure oxygen plasma2016

    • Author(s)
      Hiroshi Hashizume, Takayuki Ohta, Masafumi ito, Keigo Takeda, Kenji Ishikawa, Masaru Hori
    • Organizer
      sian International Workshop on Plasma Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-02-13
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] レーザー誘起プラズマによる培養液中の活性種生成と細胞応答2016

    • Author(s)
      黒川幸宏、倉家尚之、竹田圭吾、石川健治、橋爪博司、田中宏昌、近藤博基、関根誠、堀勝
    • Organizer
      第77回応用物理学会秋季学術講演会
    • Place of Presentation
      朱鷺メッセ(新潟県新潟市)
    • Year and Date
      2016-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electrochemical Durability of Pt-Supported Carbon Nanowalls Synthesized Using C2F6/H2 Mixture Plasma2016

    • Author(s)
      Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori
    • Organizer
      2016 Materials Research Society Fall Meeting & Exhibit
    • Place of Presentation
      Boston, Massachusetts, United States
    • Year and Date
      2016-11-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Plasma Activated Medium for Cancer Therapy2016

    • Author(s)
      M. Hori, H.Tanaka, K.Ishikawa, M.Mizuno, F. Kikkawa
    • Organizer
      Energy Materials and Nanotechnology (EMN) Meeting on Biomaterials
    • Place of Presentation
      Phuket Thailand
    • Year and Date
      2016-04-04
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-activated medium and cancer2016

    • Author(s)
      H.Tanaka, M.Mizuno, K.Ishikawa, K.Takeda, H.Kondo, H.Hashizume, K.Nakamura, H.Kajiyama, H.Kano, Y.Okazaki, S.Toyokuni, S.Maruyama, F.Kikkawa, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Latent Chemistry in Plasma activated medium (PAM)2016

    • Author(s)
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Hiroko Mizuno, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
    • Organizer
      20th International Vacuum congress IVC-20
    • Place of Presentation
      Busan, Korea
    • Year and Date
      2016-08-21
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Metabolic profiles on glioblastoma (U251SP) modified in plasma-activated medium (PAM) cultivation2016

    • Author(s)
      Kenji Ishikawa, N. Kurake, H. Tanaka, H. Hashizume, K. Takeda, K. Nakamura, H. Kajiyama, H. Kondo, M. Sekine, M. Kato, M. Mizuno, F. Kikkawa, M. Hori
    • Organizer
      International Conference on Plasma Medicine (ICPM)
    • Place of Presentation
      Blatislava, Slovakia
    • Year and Date
      2016-09-04
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Diagnostics of gas- and liquid-phase reactive species generated by AC excited atmospheric pressure Ar Plasma2016

    • Author(s)
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of activated species generated by non-equilibrium atmospheric pressure plasmas for plasma biomedical applications2016

    • Author(s)
      Kenji Ishikawa
    • Organizer
      International Workshop on Plasma Spectroscopy (IPS)
    • Place of Presentation
      Inuyama, Japan
    • Year and Date
      2016-06-26
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Behaviors of OH radical generated by irradiation of AC excited atmospheric pressure Ar jet to medium2016

    • Author(s)
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M. Hori
    • Organizer
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • Place of Presentation
      Inuyama, Japan
    • Year and Date
      2016-06-26
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-activated Medium (PAM) and Metabolic Analysis of Glioblastoma (U251SP)2016

    • Author(s)
      Kenji Ishikawa, Naoyuki Kurake, Hiroshi Hashizume, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Shinya Toyokuni, and Masaru Hori
    • Organizer
      The 26th annual meeting of MRS-J
    • Place of Presentation
      Yokohama, Japan
    • Year and Date
      2016-12-19
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Metabolic profiles on glioblastoma (U251SP) modified in plasma-activated medium (PAM) cultivation2016

    • Author(s)
      K.Ishikawa, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, K.Nakamura, H.Kajiyama, H.Kondo, M.Sekine, M.Kato, M.Mizuno, F.Kikkawa, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Study on crystallographic and electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2016

    • Author(s)
      L. Jia, H. Sugiura, H. Kondo, K. Takeda, K. Ishikawa, M. Sekine, M. Hori
    • Organizer
      8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Effect of Plasma-treatment on the in vitro growth of Trypanosoma brucei2016

    • Author(s)
      N.Yokoyama, H.Sakakida, Y.Akimoto, T.Sivakumar, K.Ishikawa, M.Hori, Y.Ikehara
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性化溶液(PAM)培養した 脳腫瘍細胞(U251SP)の 代謝プロファイル2016

    • Author(s)
      石川健治, 倉家 尚之, 田中 宏昌, 橋爪 博司, 竹田 圭吾, 中村 香江, 梶山 広明, 近藤 博基, 関根 誠, 加藤 昌志, 水野 正明, 吉川 史隆, 堀 勝
    • Organizer
      日本酸化ストレス学会学術集会
    • Place of Presentation
      仙台
    • Year and Date
      2016-08-30
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Generation of Reactive Species In Medium Irradiated laser-Induced-Plasmas2016

    • Author(s)
      Y.Kurokawa, N.Kurake, K.takeda, K.Ishikawa, H.Hashizume, H.tnaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      AVS 63rd International Symposium & Exhibition
    • Place of Presentation
      Nashville, Tennessee, US
    • Year and Date
      2016-11-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Generation of active species in laser-induced-plasma activated medium2016

    • Author(s)
      Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 酸素ラジカル照射によるリン酸緩衝生理的食塩水中の微生物不活性化効果2016

    • Author(s)
      小林剛士, 橋爪博司, 太田貴之, 石川健治, 堀勝, 伊藤昌文
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東京工業大学 大岡山キャンパス
    • Year and Date
      2016-03-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Analyses of sensitivities to Plasma-activated medium on various cells2016

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, Masaru Hori
    • Organizer
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマが照射された液体中の活性種の分析2016

    • Author(s)
      石川健治
    • Organizer
      プラズマ・核融合学会第33回年会
    • Place of Presentation
      仙台
    • Year and Date
      2016-11-24
    • Invited
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Effects of fluorine termination on nanostructures and electrical proeprties of carbon nanowalls2016

    • Author(s)
      H. Cho, S. Tajima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori
    • Organizer
      8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Effects of radicals and ions on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2016

    • Author(s)
      H. Sugiura, L. Jia, H. Kondo, K. Ishikawa, K. Takeda, M. Sekine, M. Hori
    • Organizer
      8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Particulates generation in the plasma activated medium (PAM)2016

    • Author(s)
      N.Kurake, H.Tanaka, K.Ishikawa, H.hashizume, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • Organizer
      6th International Conference on Plasma Medicine
    • Place of Presentation
      Bratislava, Slovakia
    • Year and Date
      2016-09-04
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] UV absorbance of DDW treated with oxygen radicals for inactivating Escherichia coli2016

    • Author(s)
      T.Kobayashi, J.Oh, H.Hashizume, T.Ohta, K.Ishikawa, M.Hori, M.Ito
    • Organizer
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • Place of Presentation
      Inuyama, Japan
    • Year and Date
      2016-06-26
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Behaviors of gas- and liquid-phase reactive oxygen species generated by AC excited atmospheric pressure He plasma2016

    • Author(s)
      K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, Y. Nakai, M. Sekine, M. Hori
    • Organizer
      The Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications
    • Place of Presentation
      Eastin Tan Hotel, Chiang Mai, Thailand,
    • Year and Date
      2016-02-22
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Unobtrusively Medical and Health Monitoring of Older Adults in Every-day Life Mobility with a Vehicle2016

    • Author(s)
      K.Ishikawa, S.Onoshima, H.Yukiwa, H.tanaka,H.Hashizume, Y.Baba, M.Hori, T.Hase, M.Kondo, Y.Hasegawa, M.Mizuno, N. Kihara, K.tatsukoshi, H.Odaka
    • Organizer
      The 3rd International Conference on Univseal Village (UV2016)
    • Place of Presentation
      Nagoya, Japan
    • Year and Date
      2016-10-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] INTRACELLULAR MOLECULAR MECHANISMS OF CANCER CELL DEATH USING PLASMA-ACTIVATED MEDIUM2016

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Tetsuo Adachi, Hiroki Kaneko, Hiroko Terasaki, Yasuhiro Kodera, Fumitaka Kikkawa, and Masaru Hori
    • Organizer
      IWPCT2016
    • Place of Presentation
      Embassy Suites by Hilton Washington DC Convention Center
    • Year and Date
      2016-04-11
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H05430
  • [Presentation] プラズマ照射培養液による乳がん細胞への選択的殺傷効果の検討2016

    • Author(s)
      橋爪博司, 田中宏昌, 中村香江, 吉川史隆, 石川健治, 加納浩之, 水野正明, 堀勝
    • Organizer
      酸化ストレス学会東海支部 第4回学術集会
    • Place of Presentation
      鈴鹿医療科学大学 白子キャンパス
    • Year and Date
      2016-02-06
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Impact of Synergism of nitrate and hydrogen Preroxide on cell survivals in plasma-activated-medium(PAM)2016

    • Author(s)
      N. Kurake, H. Tanaka, K. Ishikawa, K. Nakamura, H. Kajiyama, F. Kikkawa, T. Kondo, M. Mizuno, H. Kondo, M. Sekine, M. Hori
    • Organizer
      43rd IEEE International Conference on Plasma Science
    • Place of Presentation
      Banff, Alberta, Canada
    • Year and Date
      2016-06-19
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 高速原子間力顕微鏡を用いたプラズマ活性培養液による脂質二重膜の形状変化の解析(Ⅱ)2016

    • Author(s)
      戸波卓也、倉家尚之、橋爪博司、近藤博基、石川健治、竹田圭吾、田中宏昌、関根誠、伊藤昌文、手老龍吾、堀勝
    • Organizer
      第63回応用物理学会春季学術講演会
    • Place of Presentation
      東京工業大学 大岡山キャンパス
    • Year and Date
      2016-03-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Gas flow effect on transport of O(3Pj) atoms in ac power excited atmospheric pressure O2/Ar Plasma jet2016

    • Author(s)
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori
    • Organizer
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • Place of Presentation
      Inuyama, Japan
    • Year and Date
      2016-06-26
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma induced reactions on budding yeasts observed by multiplex coherent anti-Stokes Raman scattering (CARS)2016

    • Author(s)
      Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Measurement of reactive species in neutral-pH solutions treated with neutral oxygen radicals2016

    • Author(s)
      Tsuyoshi Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • Organizer
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya University, Aichi, Japan
    • Year and Date
      2016-03-06
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-enhanced synthesis and chemical termination of carbon nanomaterials for application as cell culturing scaffold2016

    • Author(s)
      H. Sugiura, L. Jia, H. Kondo, H. Hashizume, K. Ishikawa, K. Takeda, M. Hiramatsu, M. Sekine, M. Hori
    • Organizer
      The Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications
    • Place of Presentation
      Eastin Tan Hotel, Chiang Mai, Thailand
    • Year and Date
      2016-02-22
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] PLASMATREATMENT INDUCES BLOOD CLOT FORMATION2016

    • Author(s)
      Y. Ikehara, S. Ikehara, T. Yamaguchi, Y. Akimoto, H. Sakakita, J. Kim, K. Ishikawa M. Hori H. Nakanishi N. Shimizu
    • Organizer
      43rd IEEE International Conference on Plasma Science
    • Place of Presentation
      Banff, Alberta, Canada
    • Year and Date
      2016-06-19
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] INTRACELLULAR MOLECULAR MECHANISMS OF CANCER CELL DEATH USING PLASMA-ACTIVATED MEDIUM2016

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Tetsuo Adachi, Hiroki Kaneko, Hiroko Terasaki, Yasuhiro Kodera, Fumitaka Kikkawa, and Masaru Hori
    • Organizer
      IWPCT2016
    • Place of Presentation
      Embassy Suites by Hilton Washington DC Convention Center
    • Year and Date
      2016-04-11
    • Invited / Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15K13390
  • [Presentation] Modification of chemical bonding structures and electrical properties of carbon nanowalls by Ar/F2 post-treatments2015

    • Author(s)
      H. Cho, S. Tajima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori
    • Organizer
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Cellular And Molecular Responses Of Plasma-activated Medium Treated Cells2015

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, and Masaru Hori
    • Organizer
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Jeju
    • Year and Date
      2015-09-24
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15K13390
  • [Presentation] Nonlinear optical spectroscopic measurements of plasma-treated bio-surfaces2015

    • Author(s)
      Kenji Ishikawa, Ryo Furuta, K. Takeda, T. Ohta, H. Hashizume, H. Kondo, M. Ito, M. Sekine, and M. Hori
    • Organizer
      第25回日本MRS年次大会 国際シンポジウムC-5
    • Place of Presentation
      横浜市開港記念会館、横浜情報文化センター
    • Year and Date
      2015-12-08
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Cellular And Molecular Responses Of Plasma-activated Medium Treated Cells2015

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, Masaru Hori
    • Organizer
      10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effects of ion bombardments on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2015

    • Author(s)
      H. Sugiura, L. Jia, H. Kondo, K. Ishikawa, K. Takeda, M. Sekine, M. Hori
    • Organizer
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • Place of Presentation
      Hawaii Convention Center, Hawaii, USA
    • Year and Date
      2015-10-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Effects of solutions treated with oxygen radicals in neutral pH region on inactivation of microorganism2015

    • Author(s)
      Tsuyoshi Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori and Masafumi Ito
    • Organizer
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • Place of Presentation
      Hawaii Convention Center, Hawaii, USA
    • Year and Date
      2015-10-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Surface Reactions Of Oxygen Species On Carbon Nanowalls2015

    • Author(s)
      H. Kondo, H. Shimoeda, K. Ishikawa, M. Sekine, M. Horiand, M. Hiramatsu
    • Organizer
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Responses of cells in plasma-activated medium2015

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, and Masaru Hori
    • Organizer
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • Place of Presentation
      Hawaii
    • Year and Date
      2015-10-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15K13390
  • [Presentation] AC励起大気圧Arプラズマの培養液照射中における気相活性種の計測2015

    • Author(s)
      梁 思潔、竹田 圭吾、熊倉 匠、近藤 博基、石川 健治、関根 誠、堀 勝
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      神奈川
    • Year and Date
      2015-03-11
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Dependence of CCP power on crystallographic and electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2015

    • Author(s)
      H. Sugiura, L. Jia, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • Organizer
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] 大気圧プラズマにおける酸素ラジカル密度の温度の影響2015

    • Author(s)
      野々山芳明、早川雅浩、高島成剛、柳原一輝、濱根剛、池戸俊之、神藤高広、竹田圭吾、石川健治、堀勝
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ活性培養液による乳がん細胞の選択的細胞死の解析2015

    • Author(s)
      橋爪博司, 田中宏昌, 中村香江, 吉川史隆, 石川健治, 加納浩之, 水野正明, 堀勝
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Reactive Species Generated in Liquids Treated with Neutral Oxygen Radicals2015

    • Author(s)
      Takuya Towatari, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • Organizer
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-28
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-Activated-Medium(PAM)内酸窒化活性種生成機構2015

    • Author(s)
      倉家尚之, 田中宏昌, 石川健治, 中村香江, 梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基, 関根誠, 堀勝
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 非平衡大気圧プラズマ照射培養液中の酸窒化活性種の計測と抗腫瘍効果2015

    • Author(s)
      石川健治、倉家尚之、田中宏昌、近藤隆、水野寛子、橋爪博司、大沼章子、加藤昌志、中村香江、梶山広明、吉川史隆、水野正明、竹田圭吾、関根誠、堀勝
    • Organizer
      第68回日本酸化ストレス学会学術集会
    • Place of Presentation
      かごしま県民交流センター
    • Year and Date
      2015-06-11
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effects of ion irradiation on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2015

    • Author(s)
      H. Sugiura , L. Jia , H. Kondo , K. Takeda , K. Ishikawa , M. Sekine , M. Hori
    • Organizer
      37th International Symposium on Dry Process
    • Place of Presentation
      Awaji Yumebutai International Conference Center, Hyogo, Japan
    • Year and Date
      2015-11-05
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] マルチプレックスコヒーレントアンチストークスラマン散乱(CARS)顕微鏡を用いたプラズマ誘起生体反応機構の解明2015

    • Author(s)
      古田 凌、野村 多加博、橋爪 博司、竹田 圭吾、近藤 博基、石川 健治、太田 貴之、伊藤 昌文、関根 誠、堀 勝
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      神奈川
    • Year and Date
      2015-03-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Ar/NO/F2ガスを用いたカーボンナノウォールの化学終端処理が表面微細構造および電気的特性に及ぼす効果2015

    • Author(s)
      趙亨峻、田嶋聡美、竹田圭吾、近藤博基、石川健治、関根誠、平松美根男、堀勝
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-17
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Effects of Plasma-Activated Medium on Surface Morphologies of Lipid Bilayers2015

    • Author(s)
      Takuya Tonami, Naoyuki Kurake, Hiroshi Hashizume, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masaru Hori, Masafumi Ito, Ryugo Tero
    • Organizer
      10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effects of Fluorine Termination of Carbon Nanowall Edges on Their Electrical Properties by Ar/NO/F Mixture Gas Treatments2015

    • Author(s)
      H. Cho, S. Tajima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori
    • Organizer
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • Place of Presentation
      Hawaii Convention Center, Hawaii, USA
    • Year and Date
      2015-10-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Hydrogen peroxide and nitrous ion generated in culture media by irradiation of non-equilibrium atmospheric pressure plasmas2015

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Sterilization efficiency of the Atmospheric Pressure Jet Entrained Ambient Air2015

    • Author(s)
      Chih-Tung Liu, Meng-Hua Hu, Kenji Ishikawa, Masaru Hori, J. S. Wu
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Antitumor effect of synergistic contribution of nitrite and hydrogen peroxide in the Plasma-Activated-Medium2015

    • Author(s)
      Naoyuki kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • Place of Presentation
      Hawaii Convention Center, Hawaii, USA
    • Year and Date
      2015-10-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals2015

    • Author(s)
      橋爪博司, 太田貴之, 竹田圭吾, 石川健治, 堀勝, 伊藤昌文
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effects of Radical Species on Crystallographic Properties of Amorphous Carbon Films Synthesized by Radical Injection Plasma Enhanced Chemical Vapor Deposition2015

    • Author(s)
      L. Jia, H. Sugiura, H. Kondo, K. Ishikawa, M. Sekine, M. Hori
    • Organizer
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H02032
  • [Presentation] Inactivation Effects of Neutral-pH Solutions Treated with Oxygen Radicals2015

    • Author(s)
      Tsuyoshi Kobayashi, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Kenji Ishikawa, Masaru Hori
    • Organizer
      The Joint Symposium of the 9th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology and the 28th Symposium on Plasma Science for Materials
    • Place of Presentation
      Nagasaki University, Japan
    • Year and Date
      2015-12-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 乳腺由来細胞を用いたプラズマ照射培養液による選択的細胞死の検討2015

    • Author(s)
      橋爪 博司、田中 宏昌、中村 香江、吉川 史隆、石川 健治、加納 浩之、水野 正明、堀 勝
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      神奈川
    • Year and Date
      2015-03-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 高速液中原子間力顕微鏡を用いたプラズマ活性培養液による脂質二重膜の形状変化の解析2015

    • Author(s)
      戸波卓也, 倉家尚之, 橋爪博司, 近藤博基, 石川健治, 竹田圭吾, 田中宏昌, 関根 誠, 堀勝, 伊藤昌文, 手老龍吾
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 非平衡大気圧プラズマ照射培養液中の酸窒化活性種の計測と抗腫瘍効果2015

    • Author(s)
      石川 健治   倉家 尚之, 田中 宏昌, 近藤 隆, 水野 寛子, 大沼 章子, 加藤 昌志, 中村 香江, 梶山 広明, 竹田 圭吾, 近藤 博基, 関根 誠, 吉川 史隆, 水野 正明, 堀 勝
    • Organizer
      第68回日本酸化ストレス学会学術集会
    • Place of Presentation
      鹿児島県民交流センター
    • Year and Date
      2015-06-11
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Discharge Characteristics of Atmospheric RF Plasma Jet2015

    • Author(s)
      Giichiro Uchida, Kosuke Takenaka, Kazufumi Kawabata, Yuichi Setsuhara, Keigo Takeda, Kenji Ishikawa, Masaru Hori
    • Organizer
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-30
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Responses of cells in plasma-activated medium2015

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, and Masaru Hori
    • Organizer
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • Place of Presentation
      Hawaii
    • Year and Date
      2015-10-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H05430
  • [Presentation] Cellular And Molecular Responses Of Plasma-activated Medium Treated Cells2015

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, and Masaru Hori
    • Organizer
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Jeju
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-15H05430
  • [Presentation] Chemical analysis of plasma-activated medium (PAM)2015

    • Author(s)
      Kenji Ishikawa
    • Organizer
      COST TD1208 2nd annual meeting - Electrical discharge with liquilds for future applications
    • Place of Presentation
      Barcelona, Spain
    • Year and Date
      2015-02-25
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-Surface Interactions Analyzed by Vibrational Sum-Frequency Generation (SFG)2015

    • Author(s)
      Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-27
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of AC excited Atmospheric Pressure Ar Plasma Jet with Laser Scattering spectroscopy2015

    • Author(s)
      K. Takeda, F. Jia, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • Organizer
      17th International Symposium on Laser-Aided Plasma Diagnostics
    • Place of Presentation
      Gateaux Kingdom Sapporo, Hokkaido, Japan
    • Year and Date
      2015-09-27
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Investigation of selective induction of breast cancer cells to death with treatment of plasma-activated medium2015

    • Author(s)
      Hiroshi Hashizume,, Hiromasa Tanaka,, Kae Nakamura, Hiroyuki Kano, Kenji Ishikawa, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
    • Organizer
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • Place of Presentation
      Hawaii Convention Center, Hawaii, USA
    • Year and Date
      2015-10-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] AC励起非平衡大気圧Arプラズマジェットによる活性種の生成機構2015

    • Author(s)
      竹田圭吾、梁思潔、熊倉匠、石川健治、田中宏昌、関根誠、堀勝
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Nonlinear Optical Spectroscopic Observation of Plasma-Treated Bio- Specimen2015

    • Author(s)
      Kenji Ishikawa, Ryo Furuta, K. Takeda, T. Ohta, H. Hashizume, H. Kondo, M. Ito, M. Sekine, and M. Hori
    • Organizer
      International Symposimum on American Vacuum Scoiety (AVS)
    • Place of Presentation
      San Jose, CA, USA
    • Year and Date
      2015-10-18
    • Int'l Joint Research
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Changes in Mass-Spectra of Arginine by Atmospheric Pressure Plasma Treatment2015

    • Author(s)
      Hidehiko Fujita, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Masaru Hori
    • Organizer
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-26
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 医療・バイオ応用に向けたAC励起非平衡大気圧Heプラズマジェットの気相診断2015

    • Author(s)
      熊倉匠,竹田圭吾,石川健治,田中宏昌,近藤博基,加納浩之,中井義浩,関根誠,堀勝
    • Organizer
      第76回応用物理学会秋季学術講演会
    • Place of Presentation
      名古屋国際会議場
    • Year and Date
      2015-09-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 大気圧プラズマ活性培養液の抗腫瘍効果作用機構の解析2015

    • Author(s)
      倉家 尚之、田中 宏昌、石川 健治、中村 香江、梶山 広明、吉川 史隆、近藤 隆、水野 正明、竹田 圭吾、近藤 博基、関根 誠、堀 勝
    • Organizer
      第62回応用物理学会春季学術講演会
    • Place of Presentation
      神奈川
    • Year and Date
      2015-03-13
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Application of plasma irradiation to skin tumor spontaneously developed in RET-transgenic mice2015

    • Author(s)
      Masashi KATO, Machiko IIDA, Ichiro YAJIMA, Yasuhiro OMATA, Kenji ISHIKAWA, Masaru HORI
    • Organizer
      The 2nd International Workshop on Plasma for Cancer Treatment
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-16
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of AC Excited Atmospheric Pressure He Plasma Jet With Vacuum Ultraviolet Absorption Spectroscopy2015

    • Author(s)
      Masaru Hori, Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine
    • Organizer
      10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] O Atom Density at Downstream of a High Density Atmospheric Pressure Plasma Source2015

    • Author(s)
      Masaru Hori, Takumi Kumakura, Keigo Taked, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine
    • Organizer
      The Joint Symposium of the 9th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology and the 28th Symposium on Plasma Science for Materials
    • Place of Presentation
      Nagasaki University, Japan
    • Year and Date
      2015-12-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Multiplex Coherent Anti-Stokes Raman Scattering Microscopic Observation Of Plasma-induced Budding Yeast2015

    • Author(s)
      Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori
    • Organizer
      10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effect of Ambient Air on Reactive Species Generation in Atmospheric Pressure Ar Plasma Jet2015

    • Author(s)
      Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • Organizer
      10th Asian-European International Conference On Plasma Surface Engineering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • Year and Date
      2015-09-20
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 医療バイオ応用プラズマ2015

    • Author(s)
      石川健治
    • Organizer
      第26回プラズマエレクトロニクス講習会
    • Place of Presentation
      東京大学武田先端知
    • Year and Date
      2015-12-20
    • Invited
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] プラズマ活性培養液による乳腺由来細胞のがん細胞選択的な細胞死の誘導2015

    • Author(s)
      橋爪博司, 田中宏昌, 中村香江, 吉川史隆, 石川健治, 加納浩之, 水野正明, 堀勝
    • Organizer
      第68回日本酸化ストレス学会学術集会
    • Place of Presentation
      かごしま県民交流センター
    • Year and Date
      2015-06-11
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Interaction of AC excited Atmospheric Pressure Ar Plasma Jet with Medium2015

    • Author(s)
      Keigo Takeda, Sijie Liang, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • Organizer
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • Place of Presentation
      Hawaii Convention Center, Hawaii, USA
    • Year and Date
      2015-10-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Chemical Analysis of Antitumor Effect of Plasma-Activated-Medium2015

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiriaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-28
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Responses of cells in plasma-activated medium2015

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, Masaru Hori
    • Organizer
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • Place of Presentation
      Hawaii Convention Center, Hawaii, USA
    • Year and Date
      2015-10-12
    • Int'l Joint Research
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 大気圧Ar プラズマによる細胞内外の活性酸素生成-EPR スピン捕捉法およびフローサイトメトリーによる検討-2014

    • Author(s)
      近藤隆, 内山英史, 趙慶利, 能島信行, 竹田圭吾, 石川健治, 堀勝
    • Organizer
      第67回日本酸化ストレス学会学術集会
    • Place of Presentation
      京都
    • Year and Date
      2014-09-04
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of intercellular signaling systems of glioblastoma brain tumor cells treated with plasma-activated medium2014

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-23
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma Induced Surface Roughness of Polymeric Materials2014

    • Author(s)
      K. Ishikawa, T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • Organizer
      18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics
    • Place of Presentation
      Fukuoka
    • Invited
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Plasma Induced Surface Roughness of Polymeric Materials2014

    • Author(s)
      K. Ishikawa (Invited), T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • Organizer
      18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics
    • Place of Presentation
      Fukuoka Japan
    • Invited
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] ウシ血清アルブミン(BSA)の非平衡大気圧プラズマ照射による質量分析スペクトルの変化2014

    • Author(s)
      藤田英彦,太田貴之,石川健治,竹田圭吾,堀勝
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道
    • Year and Date
      2014-09-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] The Effect of Neutral Species on Modification of the A549 andSaos-2 Growth and Proliferation2014

    • Author(s)
      Satomi Tajima, Hiroshi Hashizume, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • Organizer
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-23
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Ultra high density atmospheric pressure plasma source2014

    • Author(s)
      Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The International Symposium on Plasma-Nano Materials and Processes
    • Place of Presentation
      Seoul, Korea
    • Year and Date
      2014-04-03
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron Spin Resonance Study of Plasma Activated Media (PAM)2014

    • Author(s)
      M. Hori, N. Kurake, K. Ishikawa, H. Tanaka, T. Kondo, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, K. Takeda, H. Kondo and M. Sekine
    • Organizer
      2014 Material Research Society Fall Meeting & Exhibit
    • Place of Presentation
      Boston, USA
    • Year and Date
      2014-12-03
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-activated medium downregulated signaling pathways that control proliferation and survival in glioblastoma brain tumor cells2014

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori
    • Organizer
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • Place of Presentation
      Meijo University, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Mass-Spectral Changes of Protein Treated by Non-Equilibrium Atmospheric Pressure Plasma2014

    • Author(s)
      Takayuki Ohta, Hidehiko Fujita, Kenji Ishikawa, Keigo Takeda, and Masaru Hori
    • Organizer
      2014 Material Research Society Fall Meeting & Exhibit
    • Place of Presentation
      Boston, USA
    • Year and Date
      2014-12-03
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] INTRACELLULAR MOLECULAR MECHANISMS OF APOPTOSIS IN CANCER CELLS BY PLASMA-ACTIVATED MEDIUM2014

    • Author(s)
      Hiromasa Tanaka (Invited), Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori
    • Organizer
      The 1st International Workshop on Plasma for Cancer Treatment
    • Place of Presentation
      Washington D.C., USA
    • Invited
    • Data Source
      KAKENHI-PROJECT-25870307
  • [Presentation] Electron spin resonance study of radical generation during non-thermal plasma blood coagulation2014

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      17th Biennial Meeting of Society for Free Radical Research International.
    • Place of Presentation
      Kyoto Japan
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas2014

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-23
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-activated medium downregulated signaling pathways that control proliferation and survival in glioblastoma brain tumor cells.2014

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori
    • Organizer
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • Place of Presentation
      Nagoya, Japan
    • Data Source
      KAKENHI-PROJECT-25870307
  • [Presentation] 非平衡大気圧プラズマによるアルテミアの成長への影響に関する研究2014

    • Author(s)
      熊倉匠, 竹田圭吾, 田中宏昌, 秋山真一, 石川健治, 近藤博基, 関根誠, 堀勝
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道
    • Year and Date
      2014-09-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 大気圧プラズマ照射培養液の抗腫瘍効果作用機序の解析2014

    • Author(s)
      倉家尚之, 田中宏昌,石川健治,中村香江,梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基,関根誠, 堀勝
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道
    • Year and Date
      2014-09-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Characteristics of reactive particle production in atmospheric pressure DBD plasma jet2014

    • Author(s)
      Giichiro Uchida, Keisuke Takenaka, A. Miyazaki, K. Kawabata, Yuichi Setsuhara, Keigo Takeda, Kenji Ishikawa, and Masaru Hori
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析2014

    • Author(s)
      石川健治, 倉家尚之, 田中宏昌, 中村香江, 近藤隆, 梶山広明, 吉川史隆, 水野正明, 竹田圭吾,近藤博基, 関根誠, 堀勝
    • Organizer
      第67回日本酸化ストレス学会学術集会
    • Place of Presentation
      京都
    • Year and Date
      2014-09-04
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Analyses of intracellular molecular mechanisms of cancer cell death in plasma-activated solutions2014

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori
    • Organizer
      2014 Material Research Society Fall Meeting & Exhibit
    • Place of Presentation
      Boston, USA
    • Year and Date
      2014-12-03
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma nano-interface with organic materials for surface-roughness formation2014

    • Author(s)
      M. Sekine (Invited), Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori
    • Organizer
      The 9th EU-Japan Joint Symposium on Plasma Processing
    • Place of Presentation
      Bohinj Park ECO Hotel, Bohinjska Bistrica, Slovenia
    • Invited
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] Quantitative evaluation of the inactivation process of P. digitatumspores on the basis of dose of ground-state atomic oxygen2014

    • Author(s)
      Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • Organizer
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-23
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] がん治療に向けたプラズマ照射溶液の研究開発2014

    • Author(s)
      堀 勝、水野 正明、吉川 史隆、梶山 広明、内海 史、中村 香江、石川 健治、竹田 圭吾、田中 宏昌、加納 浩之
    • Organizer
      中部地区 医療・バイオシーズ発表会
    • Place of Presentation
      名古屋
    • Year and Date
      2014-12-10
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas2014

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The 8th International Conference on Reactive Plasmas (ICRP-8) at Fukuoka, February 2-6, 2014 (Fukuoka, Japan)
    • Place of Presentation
      Fukuoka Japan
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Frequency Dependence of Atmospheric-Pressure Discharge Generation2014

    • Author(s)
      Yuichi Setsuhara, Giichiro Uchida, K. Kawabata, K. Takenaka, Keigo Takeda, Kenji Ishikawa, and M. Hori
    • Organizer
      2014 Material Research Society Fall Meeting & Exhibit
    • Place of Presentation
      Boston, USA
    • Year and Date
      2014-12-04
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Behaviors of activated species in SiH4/H2 plasma for µc-Si:H thin film deposition2014

    • Author(s)
      K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • Organizer
      18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics
    • Place of Presentation
      Fukuoka
    • Invited
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Free radical generation by cold atmospheric argon plasma in aqueous solutions. An ESR spin trapping study2014

    • Author(s)
      Hidefumi Uchiyama, Zhao Qing-Li, Nobuyuki Nojima, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Takashi Kondo
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 電子スピン共鳴法による中性酸素ラジカル照射溶液の解析2014

    • Author(s)
      唐渡卓也,橋爪博司,太田貴之,石川健治,堀勝,伊藤昌文
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道
    • Year and Date
      2014-09-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Development of Inactivation Technology asusing Plasma for Freshness-keeping on Post-harvest Management2014

    • Author(s)
      Takayuki Ohta, Hiroshi Hashizume, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • Organizer
      E-MRS and MRS-J Joint Symposium, Frontier of Nano-Materials Bed on Advanced Plasma Technologies
    • Place of Presentation
      Yokohama
    • Year and Date
      2014-12-11
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Microscopic observation of inactivation process of fungal spores treated with oxygen radicals produced from an atmospheric-pressure plasma2014

    • Author(s)
      H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • Organizer
      17th Biennial Meeting of Society for Free Radical Research International
    • Place of Presentation
      Kyoto International Conference Center, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] カーボンナノウォールの結晶構造に対するラジカル酸化効果(III)2014

    • Author(s)
      近藤博基,下枝弘尚,石川健治,平松美根男,関根誠,堀勝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Electron Spin Resonance Study of Plasma-Liquid Medium Interactions2014

    • Author(s)
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka kikkawa, Masaaki Mizono, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-23
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Behaviors of atmospheric pressure discharge and its interaction with soft materials as a basis for plasma medicine2014

    • Author(s)
      Yuichi Setsuhara, Giichiro Uchida, Keisuke Takenaka, A. Miyazaki, K. Kawabata, Keigo Takeda, Kenji Ishikawa, and Masaru Hori
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-Biological Surface Interaction for Food Hygiene2014

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      E-MRS and MRS-J Joint Symposium, Frontier of Nano-Materials Bed on Advanced Plasma Technologies
    • Place of Presentation
      Yokohama
    • Year and Date
      2014-12-11
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron Spin Resonance Study of Plasma-Activated-Medium2014

    • Author(s)
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-17
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of AC power excited non-equilibrium atmospheric pressure plasma jet with vacuum ultraviolet spectroscopy2014

    • Author(s)
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, Y. Higashijima, M. Sekine, M. Hori
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ誘起バイオマテリアルの表面反応とプラズマ医療への展開2014

    • Author(s)
      石川健治,田中宏昌,橋爪博司,竹田圭吾,近藤博基,関根誠,堀勝
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      札幌
    • Year and Date
      2014-09-18
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Control of Edge and Surface Oxidation of Carbon Nanowalls by Argon Ion Irradiation2014

    • Author(s)
      H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori
    • Organizer
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials
    • Place of Presentation
      Meijo Univ.
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Fluoescent analysis of inactivation mechanism of P. digitatum spores treated with oxygen radicals2014

    • Author(s)
      H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • Organizer
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • Place of Presentation
      Meijo University, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Signaling circuits that are affected by plasma-activated medium in brain tumor cells2014

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 大気圧プラズマを用いたがん治療とその細胞内分子機構の解明2014

    • Author(s)
      田中 宏昌, 水野 正明, 石川 健治, 竹田 圭吾, 橋爪博司, 中村 香江, 内海 史, 梶山 広明, 加納 浩之, 岡崎 泰昌, 豊國 伸哉,丸山 彰一,小寺 泰弘, 吉川 史隆, 堀 勝
    • Organizer
      第37回日本分子生物学会年会
    • Place of Presentation
      横浜
    • Year and Date
      2014-11-26
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Experimental study of Plasma-Liquid Interaction プラズマ照射液相の実験的解析2014

    • Author(s)
      石川健治
    • Organizer
      PLASMA CONFERENCE 2014
    • Place of Presentation
      Niigata
    • Year and Date
      2014-11-20
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron spin resonance study of radical generation during non-thermal plasma blood coagulation2014

    • Author(s)
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori
    • Organizer
      17th Biennial Meeting of Society for Free Radical Research International
    • Place of Presentation
      Kyoto International Conference Center, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma nano-interface with organic materials for surface-roughness formation2014

    • Author(s)
      M. Sekine, Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori
    • Organizer
      The 9th EU-Japan Joint Symposium on Plasma Processing, Bohinj Park ECO Hotel
    • Place of Presentation
      Bohinjska Bistrica, Slovenia
    • Invited
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Discharge Properties and Radical-Generation Chracteristics in DBD Plasma Jet2014

    • Author(s)
      Giichiro Uchida, Kosuke Takenaka, Kazufumi Kawabata, Atsushi Miyazaki, Yuichi Setsuhara, Keigo Takeda, Kenji Ishikawa, Masaru Hori
    • Organizer
      14th International Conference on Plasma Surface Engineering (PSE2014)
    • Place of Presentation
      Germany
    • Year and Date
      2014-09-15
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Histological comparison of the wound healing process between non-thermal plasma homostatis and thermal coagulation hemostasis2014

    • Author(s)
      Daiki Yamagami, Masashi Ueda, Shinichiro Kamino, Keiko Watanabe, Masaru Hori, Kenji Ishikawa, Yuzuru Ikehara, Hajime Sakakita, and Shuichi Enomoto
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas2014

    • Author(s)
      Kenji Ishikawa, et al
    • Organizer
      International workshop on control of fluctuation of plasma processes - Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation"
    • Place of Presentation
      福岡(3B-WS-07)
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet2014

    • Author(s)
      S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori
    • Organizer
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • Place of Presentation
      Meijo University, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Molecular Structure of Budding Yeast Cell Measured by Multiplex Coherent Anti-Stokes Raman Scattering Microspectroscopy2014

    • Author(s)
      Takayuki Ohta, Hiroshi Hashizume, Kenji Ishikawa, Keigo Takeda, Masafumi Ito, Masaru Hori
    • Organizer
      2014 Material Research Society Fall Meeting & Exhibit
    • Place of Presentation
      Boston, USA
    • Year and Date
      2014-12-03
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Inactivation process of P. digitatum spores evaluated by dose of ground-state atomic oxygen2014

    • Author(s)
      Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] DAWING OF PLASMA LIFE SCIENCES ~ DIAGNOSTICS AND CONTROL OF REACTIVE SPECIES IN PLASMA BIO PROCESSING ~2014

    • Author(s)
      M. Hori, M. Tanaka, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, F. Kikkawa, K. Nakamura, H. kajiyama and M. Mizuno
    • Organizer
      The 4th International Symposium for Plasma Biosciences
    • Place of Presentation
      Delpino Resort, Sokcho, Gangwon-do, Korea
    • Year and Date
      2014-08-18
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of reactive species on bio-liquid treatment with AC power excited non-equilibrium atmospheric pressure Ar plasma2014

    • Author(s)
      Sijie Liang, Takumi Kumakura, Keigo Takeda, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道
    • Year and Date
      2014-09-17
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Characteristics of AC excited non-equilibrium atmospheric pressure helium plasma jet for medical application2014

    • Author(s)
      Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, and Masaru Hori
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Nanostructural observation of P. digitatum spores on the inactivation process with oxygen radical treatment2014

    • Author(s)
      H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • Organizer
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • Place of Presentation
      Meijo University, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Inactivation of microorganism in liquid treated with neutral reactive oxygen species2014

    • Author(s)
      Takuya Towatari, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara
    • Year and Date
      2014-05-20
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells2014

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori
    • Organizer
      8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processings
    • Place of Presentation
      Fukuoka, Japan
    • Data Source
      KAKENHI-PROJECT-25870307
  • [Presentation] Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas2014

    • Author(s)
      Kenji Ishikawa
    • Organizer
      International workshop on control of fluctuation of plasma processes - Joint International Workshop
    • Place of Presentation
      Fukuoka Japan
    • Invited
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] ミドリカビ胞子からの実時間その場ESR信号観察2013

    • Author(s)
      石川 健治,水野 寛子,田中 宏昌,橋爪 博司,太田 貴之, 伊藤 昌文,竹田 圭吾,近藤 博基,関根 誠,堀 勝
    • Organizer
      第66回日本酸化ストレス学会学術集会
    • Place of Presentation
      名古屋 日本
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Measurement of actived species generated by AC power excited non-equilibrium atmospheric pressure Ar plasma jet with Air engulfment2013

    • Author(s)
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori
    • Organizer
      66th Annual Gaseous Electronic Conference
    • Place of Presentation
      Westin Hotel Princeton, USA
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Advanced Surface Engineering on Material Processing Employing Ultrahigh Density Atmospheric Pressure Plasma2013

    • Author(s)
      M. Hori, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine
    • Organizer
      The 1st International Conference on Surface Engineering
    • Place of Presentation
      Busan, Korea
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] In-situ ESR measurements for plasma materials interactions2013

    • Author(s)
      Kenji Ishikawa
    • Organizer
      9th Asian-European International Conference on Plasma Surface Engineering
    • Place of Presentation
      Jeju, Korea
    • Invited
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Rapid precise measurements of film-covered-substrate temperatures during plasma processes2013

    • Author(s)
      M. Ito, T. Tsutsumi, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • Organizer
      The 1st International Conference on Surface Engineering
    • Place of Presentation
      Busan, Korea
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Gas phase diagnostics of atmospheric oxygen radical sourse on inactivation of P. digitatum spores2013

    • Author(s)
      T. Ohta, H. Hashizume, M. Ito, T. Takeda, K. Ishikawa, M. Hori
    • Organizer
      8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology
    • Place of Presentation
      Hsinchu, Taiwan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Cancer therapy using atmospheric pressure plasma2013

    • Author(s)
      Hiromasa Tanaka (Invited), Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori
    • Organizer
      NU Tech @ NCSU
    • Place of Presentation
      North Carolina, USA
    • Invited
    • Data Source
      KAKENHI-PROJECT-25870307
  • [Presentation] 低温酸素プラズマを用いたミドリカビ胞子の殺菌2013

    • Author(s)
      太田貴之、橋爪博司、伊藤昌文、石川健治、竹田圭吾、堀 勝
    • Organizer
      第66回日本酸化ストレス学会学術集会
    • Place of Presentation
      WINCあいち
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Gradual transition of chemical structures at initial growth stage of carbon nanowalls2013

    • Author(s)
      H. Kondo, K. Yasuda, K. Ishikawa, M. Sekine, M. Hiramatsu, and M. Hori
    • Organizer
      The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition
    • Place of Presentation
      Warsaw University of Technology, Warsaw, Poland
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] カーボンナノウォールに対する酸素原子及びアルゴンイオンの同時照射効果2013

    • Author(s)
      下枝弘尚,近藤博基,石川健治,平松美根男,関根誠,堀勝
    • Organizer
      平成25年秋季第74回応用物理学会学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Plasma Induced Surface Roughness of ArF Photoresist Examined by Plasma-Beam Processes2013

    • Author(s)
      T. Takeuchi, Y. Zhang, K. Ishikawa, M. Sekine, Y. Setsuhara, K. Takeda, H. Kondo, M. Hori
    • Organizer
      AVS 60th International Symposium & Exhibition
    • Place of Presentation
      Long Beach, California, USA
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] Reaction mechanism between cell membranes of P. digitatum spores and oxygen radicals2013

    • Author(s)
      M. Ito, H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori
    • Organizer
      66th Annual Gaseous Electronic Conference
    • Place of Presentation
      Westin Hotel Princeton, USA
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-activated medium induced apoptosis on glioblastoma brain tumor cells by inhibiting growth/survival signaling.2013

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori
    • Organizer
      The 21st International Symposium on Plasma Chemistry
    • Place of Presentation
      Cairns, Australia
    • Data Source
      KAKENHI-PROJECT-25870307
  • [Presentation] Electron spin resonance study of plasma-biological surface interaction for food hygiene2013

    • Author(s)
      Kenji Ishikawa, et al
    • Organizer
      The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma)
    • Place of Presentation
      名古屋(P3025A)
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] 卵巣癌細胞におけるプラズマの抗腫瘍効果とROSの関与2013

    • Author(s)
      中村香江、梶山広明、内海 史、田中宏昌、水野正明、石川健治、近藤博基、加納浩之、堀 勝、吉川史隆
    • Organizer
      第66回日本酸化ストレス学会学術集会
    • Place of Presentation
      WINCあいち
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-activated medium induced apoptosis on glioblastoma brain tumor cells by inhibiting growth/survival signaling2013

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori
    • Organizer
      21th International Symposium on Plasma Chemistry
    • Place of Presentation
      Cairns Convention Centre, Australia
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] カーボンナノウォールの表面化学修飾とナノバイオ応用2013

    • Author(s)
      近藤博基,渡邊均,石川健治,関根誠,堀勝,平松美根男
    • Organizer
      平成25年秋季第74回応用物理学会学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] 酸素ラジカルの密度測定に基づいた真菌胞子のプラズマ殺菌2013

    • Author(s)
      橋爪博司、太田貴之、竹田圭吾、石川健治、堀 勝、伊藤昌文
    • Organizer
      電気学会プラズマ研究会
    • Place of Presentation
      名城大学名駅サテライト
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-activated medium induced apoptosis on tumor cells2013

    • Author(s)
      M. Hori, H. Tanaka, M. Mizuno, K. Nakamura, H. Kajiyama, K. Takeda, K. Ishikawa, H. Kano, F. Kikkawa
    • Organizer
      66th Annual Gaseous Electronic Conference
    • Place of Presentation
      Westin Hotel Princeton, USA
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] An Inhibition Mechanism for Surface Roughening of Photoresist During Plasma Etching Process with Plasma Cure2013

    • Author(s)
      Yan Zhang, Takuya Takeuchi, Hiroki Nagano, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Hiroki Kondo, Masaru Hori
    • Organizer
      第74回応用物理学会秋季学術講演会
    • Place of Presentation
      Kyoto Japan
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] Chemical analyses of edible meat irradiated atmospheric-pressure-plasmas2013

    • Author(s)
      Kenji Ishikawa, Hiroko Mizuno, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • Organizer
      2013 JSAP-MRS Joint Symposia
    • Place of Presentation
      Kyoro Japan
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Electron spin resonance study of plasma-biological surface interaction for food hygiene2013

    • Author(s)
      Kenji Ishikawa
    • Organizer
      5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials
    • Place of Presentation
      名古屋大学東山キャンパス, 名古屋市
    • Year and Date
      2013-01-28
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-activated medium downregulated a survival and proliferation signaling molecule, AKT kinase in glioma brain tumor cells.2013

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori
    • Organizer
      2013 Japan Society of Applied Physics &#8211; Material Research Society Joint Symposia
    • Place of Presentation
      Kyoto, Japan
    • Data Source
      KAKENHI-PROJECT-25870307
  • [Presentation] 非平衡大気圧プラズマより発生する活性酸素種の数密度測定とミドリカビ胞子の殺菌効果の評価2013

    • Author(s)
      橋爪博司、太田貴之、賈 鳳東、竹田圭吾、石川健治、堀 勝、伊藤昌文
    • Organizer
      第66回日本酸化ストレス学会学術集会
    • Place of Presentation
      WINCあいち
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] In-situ fluorescent observation of oxidation of P. digitatum spores treated by neutral oxygen radicals2013

    • Author(s)
      H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • Organizer
      第26回プラズマ材料科学シンポジウム(SPSM26)
    • Place of Presentation
      九州大学
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Identification of ESR signals arisen from Penicillium digitatum spores-32013

    • Author(s)
      Hiroko Mizuno, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      第60回応用物理学会春季学術講演会
    • Place of Presentation
      神奈川県, Japan
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] ミドリカビ胞子からの実時間その場ESR信号観察2013

    • Author(s)
      石川健治、水野寛子、田中宏昌、橋爪博司、太田貴之、伊藤昌文、竹田圭吾、近藤博基、関根 誠、堀 勝
    • Organizer
      第66回日本酸化ストレス学会学術集会
    • Place of Presentation
      WINCあいち
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] In-situ ESR measurements for plasma materials interactions2013

    • Author(s)
      Kenji Ishikawa, et al
    • Organizer
      The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • Place of Presentation
      韓国(23-1)
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] In-situ ESR measurements for Plasma Materials Interractions2013

    • Author(s)
      K. Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, and M. Hori
    • Organizer
      9th Asian-european International Conference On Plasma Surface Enginnering
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Korea
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-biological surface interaction investigated by electron spin resonance2013

    • Author(s)
      H. Mizuno, Kenji Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • Organizer
      The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), P3027A
    • Place of Presentation
      Nagoya, Japan
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Electron spin resonance study of plasma-biological surface interaction for food hygiene2013

    • Author(s)
      Kenji Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • Organizer
      The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), P3025A
    • Place of Presentation
      Nagoya, Japan
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究2013

    • Author(s)
      石川健治,鷲見直也,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝
    • Organizer
      第74回応用物理学会秋季学術講演会
    • Place of Presentation
      京都 日本
    • Invited
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Identification of ESR signals arisen from Penicillium digitatum spores-22012

    • Author(s)
      Hiroko Mizuno,Hiroshi Hashizume,Hiromasa Tanaka,Kenji Ishikawa,Takayuki Ohta,Masafumi Ito,Keigo Takeda,Hiroki Kondo,Makoto Sekine,Masaru Hori
    • Organizer
      2012年秋季 第73回応用物理学会学術講演会
    • Place of Presentation
      愛媛県, Japan
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] カーボンナノウォールの結晶構造に対するラジカル酸化効果2012

    • Author(s)
      下枝弘尚, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝
    • Organizer
      平成24年秋季第73回応用物理学会学術講演会
    • Place of Presentation
      愛媛大学城北地区・松山大学文京キャンパス
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Real-Time Electron Spin Resonance Study on Fungal Spores during Inactivation2012

    • Author(s)
      Kenji Ishikawa et al.
    • Organizer
      APCPST 25th SPSM, 3P-04
    • Place of Presentation
      Kyoto Japan
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Electron Spin Resonance (ESR) study of Radicals on Biological Organism Created by Interaction with Plasma2012

    • Author(s)
      Kenji Ishikawa et al.
    • Organizer
      International Conference on Plasma Science (ICOPS 2012), 2P-187.
    • Place of Presentation
      Edinburgh, UK
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Plasma-Biological Surface Interaction for Food Hygiene: Real-time in situ electron spin resonance measurements2012

    • Author(s)
      Kenji Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, and M. Hori
    • Organizer
      The 34th International Symposium on Dry Process (DPS), B-3.
    • Place of Presentation
      Tokyo, Japan
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Real-time / In-situ Electron Spin Resonance Analysis of Surface Reactions on Organic / Biological Materials Interacted with Plasmas2012

    • Author(s)
      Kenji Ishikawa et al.
    • Organizer
      International Univon of Materials Research Societies (IUMRS), International Conference on Electronic Materials(ICEM), C-8-I26-010
    • Place of Presentation
      Yokohama, Japan
    • Invited
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas2012

    • Author(s)
      Kenji Ishikawa et al.
    • Organizer
      2012 Spring Meeting of the Material Research Society, WW2.6
    • Place of Presentation
      San Francisco, USA
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Real time In Situ Electron Spin Resonance (ESR) Study of Free Radicals on Materials Created by Plasmas2012

    • Author(s)
      Kenji Ishikawa et al.
    • Organizer
      American Vacuum Society (AVS), PS2-TuA7
    • Place of Presentation
      Florida, USA
    • Invited
    • Data Source
      KAKENHI-PROJECT-24654191
  • [Presentation] Edge and Surface Modification of Nanographenes in Carbon Nanowalls by Hydrogen Peroxide Treatments2012

    • Author(s)
      H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori
    • Organizer
      The 4th International Conference on Microelectronics and Plasma Technology
    • Place of Presentation
      Ramada Plaza Jeju Hotel, Jeju, Korea
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Effects of Hydroxyl Radical on Nanographene Surfaces in Carbon Nanowalls2012

    • Author(s)
      H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, and M. Hori
    • Organizer
      The 11th APCPST and 25th SPSM
    • Place of Presentation
      Kyoto University ROHM Plaza, Kyoto, Japan
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Real-Time Electron Spin Resonance Study on Fungal Spores during Inactivation2012

    • Author(s)
      Kenji Ishikawa
    • Organizer
      The 11th APCPST and 25th SPSM
    • Place of Presentation
      京都大学ローム記念館, 京都市
    • Year and Date
      2012-10-02
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(5)

    • Author(s)
      王 浩然、石川健治、堀邉英夫、竹田圭吾、近藤博基、関根 誠、堀 勝
    • Organizer
      平成25年秋季第74回応用物理学会学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Signaling circuits that are affected by plasma-activated medium in brain tumor cells.

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori
    • Organizer
      5th International Conference on Plasma Medicine
    • Place of Presentation
      Nara, Japan
    • Year and Date
      2014-05-18 – 2014-05-23
    • Data Source
      KAKENHI-PROJECT-25870307
  • [Presentation] Interactions between Plasma and Biological Material: Analysis by Electron spin resonance (ESR) technique

    • Author(s)
      Ishikawa Kenji, Hiromasa Tanaka, Keigo Takeda, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      Plasma Processing Science (Gordon Research Conference)
    • Place of Presentation
      Smithfield, RI, USA
    • Year and Date
      2014-07-27 – 2014-08-01
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effect of gas flow on spatial distribution of O (3Pj) atoms in ac power excited on-equilibrium atmospheric pressure O2/Ar plasma jet

    • Author(s)
      K. Takeda, F. Jia, K. Ishikawa, H. Kano, M. Sekine, M. Hori
    • Organizer
      8th International Conference on Reactive Plasmas
    • Place of Presentation
      Fukuoka Convention Center, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Controlled synthesis and electrocatalytic characteristics of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma

    • Author(s)
      Hiroki Kondo, Tomoki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu
    • Organizer
      67th Annual Gaseous Electronics Conference
    • Place of Presentation
      Raleigh, North Carolina
    • Year and Date
      2014-11-02 – 2014-11-07
    • Data Source
      KAKENHI-PROJECT-25600122
  • [Presentation] Control of cellular activities by oxygen radical treatment

    • Author(s)
      T. Ohta, H. Hashizume, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • Organizer
      International Workshop on 8th ICRP/31th SPP
    • Place of Presentation
      Fukuoka Convention Center, Japan
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of AC excited Atmospheric Pressure Plasma Jet with He for Biomedical Applications

    • Author(s)
      M. Hori, K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, H. Kondo, M. Sekine, Y. Nakai
    • Organizer
      67th Gaseous Electronics Conference
    • Place of Presentation
      Raleigh, North Carolina, USA
    • Year and Date
      2014-11-02 – 2014-11-07
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究

    • Author(s)
      石川健治、鷲見直也、河野昭彦、堀邊英夫、竹田圭吾、近藤博基、関根 誠、堀 勝
    • Organizer
      平成25年秋季第74回応用物理学会学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-Biological Surface Interaction for Food Hygiene

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      E-MRS and MRS-J Joint Symposium
    • Place of Presentation
      Yokohama, Japan
    • Year and Date
      2014-12-10 – 2014-12-12
    • Invited
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Synthesis and electrocatalytic properties of Pt nanoparticles-supported nanographene synthesized employing in-liquid plasma

    • Author(s)
      Hiroki Kondo, Tomoaki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Masafumi Ito, and Mineo Hiramatsu
    • Organizer
      International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014)
    • Place of Presentation
      Gunsan
    • Year and Date
      2014-07-08 – 2014-07-11
    • Data Source
      KAKENHI-PROJECT-25600122
  • [Presentation] Electrochemical Characteristics and Durability of Pt Nanoparticles Supported Carbon Nanowalls

    • Author(s)
      Shun Imai, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori
    • Organizer
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • Place of Presentation
      Nagoya University
    • Year and Date
      2015-03-26 – 2015-03-31
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Effects of long-lived reactive species in the Plasma-Activated-Medium

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The 2nd International Workshop on Plasma for Cancer Treatment
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-16 – 2015-03-17
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of activated species generated by AC excited non-equilibrium atmospheric pressure Ar plasma jet for plasma medical and bio applications

    • Author(s)
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori
    • Organizer
      International Workshop on 8th ICRP/31th SPP
    • Place of Presentation
      Fukuoka Convention Center, Japan
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Behaviors of Activated Species in SiH4/H2 Plasma for μc-Si:H Thin Film Deposition

    • Author(s)
      K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • Organizer
      18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • Place of Presentation
      Fukuoka Convention Center & Nishijin Plaza, Japan
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron spin resonance analysis of plasma-biological material interactions in atmospheric pressure plasma

    • Author(s)
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori
    • Organizer
      International Workshop on 8th ICRP/31th SPP
    • Place of Presentation
      Fukuoka Convention Center, Japan
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Modifications of Photoresists Surface on Photon Irradiations in HBr Plasmas

    • Author(s)
      Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • Organizer
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • Place of Presentation
      Gunsan, South Korea
    • Year and Date
      2015-07-01 – 2015-07-02
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] Non-equilibrium atomospheric pressure plasma modulates transformation-mediated gene expression levels in melanocytic tumors in vivo

    • Author(s)
      Machiko IIDA, Ichiro YAJIMA, Yasuhiro OMATA, Xiang LI, Cunchao ZOU, Chihiro NAKANO, Kenji ISHIKAWA, Masaru HORI, Masashi KATO
    • Organizer
      The 2nd International Workshop on Plasma for Cancer Treatment
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-16 – 2015-03-17
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] AC励起非平衡大気圧プラズマの放電機構の解明に向けた時空間計測

    • Author(s)
      梁 思潔、孫 昿達、竹田圭吾、近藤博基、加納浩之、石川健治、関根 誠、堀 勝
    • Organizer
      平成25年秋季第74回応用物理学会学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] 大気圧誘電体バリア放電プラズマジェットにおける反応性粒子生成挙動 - 放電周波数に対す効果

    • Author(s)
      内田儀一郎、竹中弘祐、宮崎敦史、川端一史、節原裕一、竹田圭吾、石川健治、堀 勝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Electron spin resonance(ESR) Study of edible meat irradiated atmospherics-pressure-plasmas

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      IUMRS-ICA Symposium D-2 Frontier of Nano-Materials Based on Advanced Plasma Technologies
    • Place of Presentation
      Fukuoka, Japan
    • Year and Date
      2014-08-26 – 2014-08-31
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] 酸素ラジカル殺菌におけるミドリカビ胞子の細胞内構造の観察

    • Author(s)
      橋爪博司、岡 有也、唐渡卓也、太田貴之、竹田圭吾、石川健治、堀 勝、伊藤昌文
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] プラズマ照射した食肉血液成分の電子スピン共鳴解析

    • Author(s)
      坂倉崚亮、石川健治、田中宏昌、橋爪博司、太田貴之、伊藤昌文、竹田圭吾、近藤博基、関根 誠、堀 勝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Elucidation of plasma induced reaction mechanism for living cells using multiplex coherent anti-Stokes Raman scattering (CARS) microscopy

    • Author(s)
      Ryo Furuta, Takahiro Nomura, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori
    • Organizer
      The 2nd International Workshop on Plasma for Cancer Treatment
    • Place of Presentation
      Nagoya
    • Year and Date
      2015-03-16 – 2015-03-17
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] AC励起非平衡大気圧Arプラズマジェットにおける活性種生成への大気巻き込みの影響

    • Author(s)
      竹田圭吾、石川健治、田中宏昌、加納浩之、関根 誠、堀 勝
    • Organizer
      平成25年秋季第74回応用物理学会学術講演会
    • Place of Presentation
      同志社大学京田辺キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of intracellular signaling systems of glioblastoma brain tumor cells treated with plasma-activated medium.

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori
    • Organizer
      International Workshop on Diagnostics and Modeling for Plasma Medicine
    • Place of Presentation
      Nara, Japan
    • Year and Date
      2014-05-23 – 2014-05-24
    • Data Source
      KAKENHI-PROJECT-25870307
  • [Presentation] Dynamics and Reactive Particle Generation in Atmospheric-Pressure Discharge as a Basis for Plasma Medicine

    • Author(s)
      Y. Setsuhara, G. Uchida, K. Kawabata, A. Miyazaki, K. Takenaka, K. Takeda, K. Ishikawa, M. Hori
    • Organizer
      18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • Place of Presentation
      Fukuoka Convention Center & Nishijin Plaza, Japan
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Quantitative evaluation of the inactivation process of P. digitatumspores on the basis of dose of ground-state atomic oxygen

    • Author(s)
      Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • Organizer
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • Place of Presentation
      Nara, Japan
    • Year and Date
      2014-05-23 – 2014-05-24
    • Invited
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] CANCER THERAPY USING PLASMA-ACTIVATED MEDIUM AND THE INTRACELLULAR MOLECULAR MECHANISMS

    • Author(s)
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama,Tetsuo Adachi, Hiroki Kaneko, Hiroko Terasaki, Yasuhiro Kodera, Fumitaka Kikkawa, Masaru Hori
    • Organizer
      The 2nd International Workshop on Plasma for Cancer Treatment
    • Place of Presentation
      Nagoya, Japan
    • Year and Date
      2015-03-17 – 2015-03-18
    • Data Source
      KAKENHI-PROJECT-25870307
  • [Presentation] Analyses of intracellular molecular mechanisms of cancer cell death in plasma-activated solutions.

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori
    • Organizer
      2014 Material Research Society Fall Meeting & Exhibit
    • Place of Presentation
      Boston, USA
    • Year and Date
      2014-11-30 – 2014-12-05
    • Data Source
      KAKENHI-PROJECT-25870307
  • [Presentation] 実践的プラズマ計測手法

    • Author(s)
      石川健治、竹田圭吾
    • Organizer
      第7回名古屋大学プラズマナノ工学スクール
    • Place of Presentation
      名古屋大学
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Spectroscopic diagnostics of AC excited plasma in-liquid alcohol for nanographene synthesis

    • Author(s)
      A. Ando, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, T. Ohta, M. Ito, M. Hiramatsu, H. Kano, M. Hori
    • Organizer
      The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • Place of Presentation
      Hokkaido University
    • Year and Date
      2015-01-27 – 2015-01-29
    • Data Source
      KAKENHI-PROJECT-25600122
  • [Presentation] Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)
    • Place of Presentation
      Greifswald, Germany
    • Year and Date
      2014-07-15 – 2014-07-19
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] AC 励起大気圧非平衡 Ar プラズマ生成における 大気ガス巻込みの影響

    • Author(s)
      梁 思潔、竹田圭吾、近藤博基、加納浩之、石川健治、関根 誠、堀 勝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)
    • Place of Presentation
      Greifswald, Germany
    • Year and Date
      2014-07-15 – 2014-07-19
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Vacuum ultraviolet spectroscopic analysis of AC excited non-equilibrium atmospheric pressure Ar plasma jet

    • Author(s)
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, M. Sekine, M. Hori
    • Organizer
      67th Gaseous Electronics Conference
    • Place of Presentation
      Raleigh, North Carolina, USA
    • Year and Date
      2014-11-02 – 2014-11-07
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Agricultural Application

    • Author(s)
      Takumi Kumakura, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, Masaru Hori
    • Organizer
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • Place of Presentation
      Gunsan, Korea
    • Year and Date
      2014-07-06 – 2014-07-07
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Intracellular Molecular Mechanisms of Apoptosis in Cancer Cells by Plasma-Activated Medium

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki1, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori
    • Organizer
      The International Workshop on Plasmas for Cancer Treatment
    • Place of Presentation
      Washington DC, USA
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Inactivation process of P. digitatum spores evaluated by dose of ground-state atomic oxygen

    • Author(s)
      Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara, Japan
    • Year and Date
      2014-05-17 – 2014-05-23
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Plasma Induced Surface Roughness of Polymeric Materials

    • Author(s)
      K. Ishikawa, T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • Organizer
      18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • Place of Presentation
      Fukuoka Convention Center & Nishijin Plaza, Japan
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Analysis of fungal spore inactivation based on measurements of reactive-oxygen-species densities

    • Author(s)
      H. Hashizume, T. Ohta, F. Jia, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • Organizer
      17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • Place of Presentation
      Sungkyunkwan University, Korea
    • Invited
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Multiplex coherent anti-Stokes Raman scattering microspectroscopy for monitoring molecular structural change in biological samples

    • Author(s)
      T. Ohta, H. Hashizume, K. Takeda, K. Ishikawa, M. Ito, M. Hori
    • Organizer
      67th Gaseous Electronics Conference
    • Place of Presentation
      Raleigh, North Carolina, USA
    • Year and Date
      2014-11-02 – 2014-11-07
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] アルゴン/ フッ素ガスを用いたグラフェンエッジ終端のカーボンナノウォールの化学構造および電気的特性に対する効果

    • Author(s)
      趙亨峻、田嶋聡美、近藤博基、石川健治、関根誠、平松美根男、堀勝
    • Organizer
      第75回応用物理学会秋季学術講演会
    • Place of Presentation
      北海道大学札幌キャンパス
    • Year and Date
      2014-09-17 – 2014-09-20
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect

    • Author(s)
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • Place of Presentation
      Gunsan, Korea
    • Year and Date
      2014-07-06 – 2014-07-07
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Effect of Carrier Gas on Graphene Synthesized from in-Liquid Plasma

    • Author(s)
      Jerome JOLIBOIS, Jagath KULARATNE, Kenji ISHIKAWA, Mineo HIRAMATSU, Makoto SEKINE, Masaru HORI
    • Organizer
      International Union of Materials Research Societies - The 15th International Conference in Asia (IUMRS-ICA2014)
    • Place of Presentation
      Fukuoka Univerrsity, Fukuoka
    • Year and Date
      2014-08-24 – 2014-08-30
    • Data Source
      KAKENHI-PROJECT-25600122
  • [Presentation] Roughness formation on photoresist during etching examined by HBr plasma-beam

    • Author(s)
      Makoto Sekine, Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, and Masaru Hori
    • Organizer
      Gaseous Electronics Conference (GEC)
    • Place of Presentation
      Raleigh NC, USA
    • Year and Date
      2015-11-03 – 2015-11-07
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析

    • Author(s)
      倉家尚之、田中宏昌、石川健治、中村香江、梶山広明、吉川史隆、近藤 隆、水野正明、竹田圭吾、近藤博基、関根 誠、堀 勝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] がん治療に向けたプラズマ装置及びプラズマ照射溶液の研究開発

    • Author(s)
      田中宏昌、水野正明、石川健治、竹田圭吾、中村香江、梶山広明、加納浩之、吉川史隆、堀 勝
    • Organizer
      テクノ・フェア名大2013
    • Place of Presentation
      名古屋大学
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Microscopic observation of inactivation process of fungal spores treated with oxygen radicals produced from an atmospheric-pressure plasma

    • Author(s)
      Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • Organizer
      The 17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014)
    • Place of Presentation
      Kyoto, Japan
    • Year and Date
      2014-05-24 – 2014-05-25
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas

    • Author(s)
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara, Japan
    • Year and Date
      2014-05-17 – 2014-05-23
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] 医療・バイオ用AC励起大気圧非平衡プラズマジェットの気相診断

    • Author(s)
      熊倉 匠、竹田圭吾、石川健治、田中宏昌、近藤博基、加納浩之、中井義浩、関根 誠,、堀 勝
    • Organizer
      第61回応用物理学会春季学術講演会
    • Place of Presentation
      青山学院大学相模原キャンパス
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Anisotropic etching and structural modification of carbon nanowalls by oxygen-related radicals

    • Author(s)
      HIROKI KONDO, Hironao Shimoeda, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori
    • Organizer
      14th International Conference on Plasma Surface Engineering (PSE2014)
    • Place of Presentation
      Garmisch-Partenkirchen, Germany
    • Year and Date
      2014-09-15 – 2014-09-19
    • Data Source
      KAKENHI-PROJECT-24360015
  • [Presentation] Inactivation of microorganism in liquid treated with neutral reactive oxygen species

    • Author(s)
      Takuya Towatari, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • Organizer
      The 5th International Conference on Plasma Medicine (ICPM5)
    • Place of Presentation
      Nara, Japan
    • Year and Date
      2014-05-17 – 2014-05-23
    • Data Source
      KAKENHI-PROJECT-26286071
  • [Presentation] Plasma Induced Roughness Formation on Photoresist Examined by HBr Plasma-Beam Etching

    • Author(s)
      Yan Zhang, Makoto Sekine, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Masaru Hori
    • Organizer
      61st American Vacuum Symposium
    • Place of Presentation
      Baltimore, MD USA
    • Year and Date
      2015-11-10 – 2015-11-15
    • Data Source
      KAKENHI-PROJECT-25286080
  • [Presentation] Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas

    • Author(s)
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, and M. Hori
    • Organizer
      8th International Conference on Reactive Plasmas
    • Place of Presentation
      Fukuoka Convention Center, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Fluorescent and structural observations of P. digitatum spores inactivated with oxygen radical treatment

    • Author(s)
      H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, and M. Ito
    • Organizer
      8th International Conference on Reactive Plasmas
    • Place of Presentation
      Fukuoka Convention Center, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • [Presentation] Survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells

    • Author(s)
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori
    • Organizer
      8th International Conference on Reactive Plasmas
    • Place of Presentation
      Fukuoka Convention Center, Japan
    • Data Source
      KAKENHI-PLANNED-24108002
  • 1.  TANAKA Hiromasa (00508129)
    # of Collaborated Projects: 8 results
    # of Collaborated Products: 211 results
  • 2.  KONDO HIROKI (50345930)
    # of Collaborated Projects: 5 results
    # of Collaborated Products: 163 results
  • 3.  TAKEDA Keigo (00377863)
    # of Collaborated Projects: 5 results
    # of Collaborated Products: 198 results
  • 4.  HORI Masaru (80242824)
    # of Collaborated Projects: 3 results
    # of Collaborated Products: 269 results
  • 5.  NAKAMURA Kae (10744047)
    # of Collaborated Projects: 3 results
    # of Collaborated Products: 23 results
  • 6.  Sekine Makoto (80437087)
    # of Collaborated Projects: 3 results
    # of Collaborated Products: 185 results
  • 7.  HIRAMATSU Mineo (50199098)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 17 results
  • 8.  ITO Masafumi (10232472)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 7 results
  • 9.  OHTA Takayuki (10379612)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 70 results
  • 10.  Kato Masashi (10281073)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 9 results
  • 11.  YAJIMA Ichiro (80469022)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 3 results
  • 12.  古閑 一憲 (90315127)
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 4 results
  • 13.  KANO HIROYUKI
    # of Collaborated Projects: 2 results
    # of Collaborated Products: 9 results
  • 14.  HAYASHI Toshio (30519591)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 4 results
  • 15.  IIDA Machiko (60465515)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 3 results
  • 16.  OMATA Yasuhiro (20644371)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 2 results
  • 17.  Shiratani Masaharu (90206293)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 18.  鈴置 保雄 (10115587)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 19.  秋山 真一 (20500010)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 1 results
  • 20.  大神 信孝 (80424919)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 21.  小林 達哉 (30733703)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 22.  布村 正太 (50415725)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 23.  鎌滝 晋礼 (60582658)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 24.  富田 健太郎 (70452729)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 25.  堤 隆嘉 (50756137)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 49 results
  • 26.  金子 俊郎 (30312599)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 27.  小野 亮 (90323443)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 28.  杤久保 文嘉 (90244417)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 29.  伊藤 篤史 (10581051)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 30.  國枝 正 (90566077)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 31.  魚住 信之 (40223515)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 32.  石橋 勇志 (50611571)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 33.  江原 宏 (10232969)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 34.  新田 洋司 (60228252)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 35.  村上 朝之 (20323818)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 36.  谷口 和成 (90319377)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 37.  栂根 一夫 (50343744)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 38.  原 宏和 (30305495)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 39.  近藤 伸一 (90240944)
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 0 results
  • 40.  HASHIZUME Hiroshi
    # of Collaborated Projects: 1 results
    # of Collaborated Products: 98 results
  • 41.  YUKAWA Hiroshi
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 42.  IKEDA Junichiro
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 43.  吉川 史隆
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 9 results
  • 44.  水野 彰
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 5 results
  • 45.  田中 昭代
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 14 results
  • 46.  梶山 広明
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 4 results
  • 47.  豊國 伸哉
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 5 results
  • 48.  Attri Pankaj
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 49.  池原 譲
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 50.  近藤 隆
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 4 results
  • 51.  節原 裕一
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 52.  定塚 勝樹
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 53.  松本 省吾
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 54.  寺嶋 和夫
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 55.  志水 元亨
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 56.  馬場 嘉信
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results
  • 57.  大和 正典
    # of Collaborated Projects: 0 results
    # of Collaborated Products: 1 results

URL: 

Are you sure that you want to link your ORCID iD to your KAKEN Researcher profile?
* This action can be performed only by the researcher himself/herself who is listed on the KAKEN Researcher’s page. Are you sure that this KAKEN Researcher’s page is your page?

この研究者とORCID iDの連携を行いますか?
※ この処理は、研究者本人だけが実行できます。

Information User Guide FAQ News Terms of Use Attribution of KAKENHI

Powered by NII kakenhi