• 研究課題をさがす
  • 研究者をさがす
  • KAKENの使い方
  1. 前のページに戻る

石川 健治  Ishikawa Kenji

ORCIDORCID連携する *注記
研究者番号 60417384
その他のID
所属 (現在) 2025年度: 名古屋大学, 低温プラズマ科学研究センター, 教授
所属 (過去の研究課題情報に基づく) *注記 2021年度 – 2024年度: 名古屋大学, 低温プラズマ科学研究センター, 教授
2017年度 – 2020年度: 名古屋大学, 低温プラズマ科学研究センター, 特任教授
2019年度: 九州大学, プラズマナノ界面工学センター, 特任教授
2017年度: 名古屋大学, 工学研究科, 特任教授
2016年度 – 2017年度: 名古屋大学, 大学院工学研究科, 特任教授 … もっと見る
2016年度: 名古屋大学, 工学(系)研究科(研究院), その他
2016年度: 名古屋大学, プラズマナノ工学研究センター, 特任教授
2013年度 – 2016年度: 名古屋大学, 工学(系)研究科(研究院), 教授
2015年度: 名古屋大学, 工学研究科, 特任教授
2015年度: 名古屋大学, 大学院工学研究科, 教授
2014年度: 名古屋大学, プラズマナノ工学研究センター, 特任教授
2014年度: 名古屋大学, 大学院工学研究科, 特任教授
2012年度: 名古屋大学, 工学(系)研究科(研究院), 特任教授 隠す
審査区分/研究分野
研究代表者
プラズマエレクトロニクス / 学術変革領域研究区分(Ⅱ) / 中区分14:プラズマ学およびその関連分野 / プラズマ科学
研究代表者以外
プラズマエレクトロニクス / 学術変革領域研究区分(Ⅱ) / 小区分14030:プラズマ応用科学関連 / 中区分14:プラズマ学およびその関連分野 / 放射線・化学物質影響科学 / 理工系 / 腫瘍生物学 / 薄膜・表面界面物性
キーワード
研究代表者
電子スピン共鳴 / ラジカル / プラズマ / 低温プラズマ / 代謝 / プラズマ化学 / プラズマ滅菌 / プラズマ駆動型生化学 / 液相ラジカル / ストレス … もっと見る / ナノ材料 / メタボローム解析 / プラズマ医療科学 / 核磁気共鳴 / メタボリズム / 生物化学 / プラズマバイオ / 生体分子 / プラズマ医療 / 乳酸 / プラズマ活性有機物 / グリオーマ / 活性酸素窒素種 / 細胞内代謝 / メタボローム / 非平衡大気圧プラズマ / プラズマ加工 / 代謝解析 / プラズマ殺菌 / ミドリカビ胞子 / フリーラジカル … もっと見る
研究代表者以外
プラズマ / プラズマ医療 / エッチング / カーボンナノウォール / 癌治療 / プラズマ加工 / プラズマエッチング / プラズマがん治療 / 液中プラズマ / ラジカル / その場観察 / 分子輸送 / エピジェネティクス / 活性種 / プラズマ種子科学 / 電子衝突解離 / 電子衝突反応 / コインシデンス分光 / シリコン酸化膜 / ハイドロフロオロカーボン / シース電場揺らぎ / 光ピンセット / 高アスペクト比 / ゆらぎ / 高感度電場計測 / 微粒子プラズマ / 高アスペクト比エッチング / シース電場ゆらぎ / 光捕捉 / 2体問題 / 微粒子トラップ / 帯電量導出 / 電場揺動計測 / 電場計測 / 光捕捉微粒子 / プラズマプロセス / 帯電量 / 微粒子 / プラズマシース / 超高感度プラズマ電場計測 / 光ピンセット法 / ノジュール / ヒト由来骨芽細胞様細胞 / 周波数依存性 / 電気刺激 / 再生医療 / 細胞分化 / 壁密度 / 周波数 / 骨化 / 細胞内カルシウム / 細胞外カルシウム / 骨芽細胞 / 分化誘導 / グラフェンエッジ / 皮膚疾患 / 疫学 / 動物実験 / 衛生 / 環境技術 / 環境分析 / 社会医学 / 環境 / 排水路 / 河川 / クロム / 3価クロム / 皮革工場 / 浄化 / 健康影響 / 元素 / 健康リスク評価 / 環境モニタリング / 難聴 / 鉄 / 聴力障害 / 黒皮症 / 飲用井戸水 / 有害元素 / バイオ / アポトーシス / がん細胞 / 医療 / 大気圧プラズマ / がん治療 / プラズマ活性溶液 / メラノーマ / システムバイオロジー / 原子間力顕微鏡 / シュウ酸カルシウム / 活性酸素種 / 人工脂質二重膜 / 創傷治癒 / 抗腫瘍効果 / プラズマ活性点滴 / プラズマ活性培養液 / プラズマビーム / レジスト / 凹凸 / プラズマ化学 / シグナル伝達 / がん / 走査型電子顕微鏡 / プラズマ活性化培養液 / バイオマテリアル / in-situ観察 / 透過電子顕微鏡 / ナノグラフェン / X線光電子分光法 / グラフェン / 透過型電子顕微鏡 隠す
  • 研究課題

    (17件)
  • 研究成果

    (662件)
  • 共同研究者

    (57人)
  •  プラズマが駆動する種子内分子動態の総括研究

    • 研究代表者
      古閑 一憲
    • 研究期間 (年度)
      2024 – 2028
    • 研究種目
      学術変革領域研究(A)
    • 審査区分
      学術変革領域研究区分(Ⅱ)
    • 研究機関
      九州大学
  •  プラズマ駆動生化学反応の学理構築:活性種の動態解析研究代表者

    • 研究代表者
      石川 健治
    • 研究期間 (年度)
      2024 – 2028
    • 研究種目
      学術変革領域研究(A)
    • 審査区分
      学術変革領域研究区分(Ⅱ)
    • 研究機関
      名古屋大学
  •  プラズマ生成フリーラジカル非平衡反応場の液相時空間解析研究代表者

    • 研究代表者
      石川 健治
    • 研究期間 (年度)
      2021 – 2023
    • 研究種目
      基盤研究(A)
    • 審査区分
      中区分14:プラズマ学およびその関連分野
    • 研究機関
      名古屋大学
  •  低温プラズマ加工の理論-計算-計測の連携環境構築による一原子一分子制御工学の創成

    • 研究代表者
      関根 誠
    • 研究期間 (年度)
      2021 – 2023
    • 研究種目
      基盤研究(B)
    • 審査区分
      小区分14030:プラズマ応用科学関連
    • 研究機関
      名古屋大学
  •  プラズマ中光捕捉微粒子を用いたシース電場の時空間構造揺らぎ形成機構の解明

    • 研究代表者
      白谷 正治
    • 研究期間 (年度)
      2020 – 2023
    • 研究種目
      基盤研究(A)
    • 審査区分
      中区分14:プラズマ学およびその関連分野
    • 研究機関
      九州大学
  •  プラズマで生成されたフリーラジカルの生物化学作用のメタボロミクスによる解析研究代表者

    • 研究代表者
      石川 健治
    • 研究期間 (年度)
      2017 – 2019
    • 研究種目
      基盤研究(B)
    • 研究分野
      プラズマエレクトロニクス
    • 研究機関
      九州大学
      名古屋大学
  •  プラズマ活性溶液を用いたがん治療とその作用機序の解明

    • 研究代表者
      田中 宏昌
    • 研究期間 (年度)
      2015 – 2016
    • 研究種目
      若手研究(A)
    • 研究分野
      プラズマエレクトロニクス
    • 研究機関
      名古屋大学
  •  プラズマ活性溶液による生存・増殖シグナリングの制御と細胞の生死・増殖の制御

    • 研究代表者
      田中 宏昌
    • 研究期間 (年度)
      2015 – 2016
    • 研究種目
      挑戦的萌芽研究
    • 研究分野
      プラズマエレクトロニクス
    • 研究機関
      名古屋大学
  •  アジアの飲用水元素汚染に対する健康リスク評価と浄化材の開発

    • 研究代表者
      加藤 昌志
    • 研究期間 (年度)
      2015 – 2018
    • 研究種目
      基盤研究(A)
    • 研究分野
      放射線・化学物質影響科学
    • 研究機関
      名古屋大学
  •  カーボンナノウォールシートエッジエレクトロニクスの創成と単一細胞の分化誘導制御

    • 研究代表者
      堀 勝
    • 研究期間 (年度)
      2015 – 2017
    • 研究種目
      基盤研究(A)
    • 研究分野
      プラズマエレクトロニクス
    • 研究機関
      名古屋大学
  •  プラズマで生成された生体内フリーラジカルの実時間計測とプラズマ滅菌処理の研究研究代表者

    • 研究代表者
      石川 健治
    • 研究期間 (年度)
      2014 – 2016
    • 研究種目
      基盤研究(B)
    • 研究分野
      プラズマエレクトロニクス
    • 研究機関
      名古屋大学
  •  気液プラズマ照射下におけるバイオマテリアル形態変化のその場電子顕微鏡観察

    • 研究代表者
      近藤 博基
    • 研究期間 (年度)
      2013 – 2014
    • 研究種目
      挑戦的萌芽研究
    • 研究分野
      プラズマエレクトロニクス
    • 研究機関
      名古屋大学
  •  プラズマによるがん培養細胞の細胞死の細胞内分子機構の解明

    • 研究代表者
      田中 宏昌
    • 研究期間 (年度)
      2013 – 2014
    • 研究種目
      若手研究(B)
    • 研究分野
      腫瘍生物学
    • 研究機関
      名古屋大学
  •  プラズマ微細加工におけるナノ揺らぎ制御に係わるプラズマ科学の創成

    • 研究代表者
      関根 誠
    • 研究期間 (年度)
      2013 – 2015
    • 研究種目
      基盤研究(B)
    • 研究分野
      プラズマエレクトロニクス
    • 研究機関
      名古屋大学
  •  プラズマ照射下その場原子分解能観察による垂直成長ナノグラフェン成長素過程の解明

    • 研究代表者
      近藤 博基
    • 研究期間 (年度)
      2012 – 2014
    • 研究種目
      基盤研究(B)
    • 研究分野
      薄膜・表面界面物性
    • 研究機関
      名古屋大学
  •  実時間その場電子スピン共鳴分析によるプラズマの生体に及ぼす作用に関する研究研究代表者

    • 研究代表者
      石川 健治
    • 研究期間 (年度)
      2012 – 2013
    • 研究種目
      挑戦的萌芽研究
    • 研究分野
      プラズマ科学
    • 研究機関
      名古屋大学
  •  プラズマ医療のための気相・表界面反応ダイナミクスの計測と体系化

    • 研究代表者
      堀 勝
    • 研究期間 (年度)
      2012 – 2016
    • 研究種目
      新学術領域研究(研究領域提案型)
    • 審査区分
      理工系
    • 研究機関
      名古屋大学

すべて 2024 2023 2022 2021 2020 2019 2018 2017 2016 2015 2014 2013 2012 その他

すべて 雑誌論文 学会発表 図書 産業財産権

  • [図書] Chapter 2 Physical and Chemical Basis of Nonthermal Plasma, In "Plasma Medical Science"2018

    • 著者名/発表者名
      Kenji Ishikawa et al.
    • 総ページ数
      103
    • 出版者
      Academic Press
    • ISBN
      9780128150047
    • データソース
      KAKENHI-PROJECT-17H02805
  • [雑誌論文] Low-temperature growth at 225°C and characterization of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition2024

    • 著者名/発表者名
      Minh Ngo Quang、Van Nong Ngo、Oda Osamu、Ishikawa Kenji、Hori Masaru
    • 雑誌名

      Vacuum

      巻: 224 ページ: 113180-113180

    • DOI

      10.1016/j.vacuum.2024.113180

    • 査読あり / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] Surface sulfurization of amorphous carbon films in the chemistry of oxygen plasma added with SO2 or OCS for high-aspect-ratio etching2024

    • 著者名/発表者名
      Ishikawa Kenji、Nguyen Thi-Thuy-Nga、Aoki Yuta、Sato Hiroyasu、Kawakami Junichi、Tsuno Shuji、Hsiao Shih-Nan、Hori Masaru
    • 雑誌名

      Applied Surface Science

      巻: 645 ページ: 158876-158876

    • DOI

      10.1016/j.apsusc.2023.158876

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] High linear energy transfer (LET) nature of alanine radical yield by soft X-ray irradiations studied by electron spin resonance (ESR) applications2024

    • 著者名/発表者名
      Nakagawa Seiko、Yokoya Akinari、Ohara Maki、Usami Noriko、Asada Mizue、Fujiwara Motoyasu、Nakamura Toshikazu、Ishikawa Kenji
    • 雑誌名

      Radiation Physics and Chemistry

      巻: 214 ページ: 111304-111304

    • DOI

      10.1016/j.radphyschem.2023.111304

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] Plasma-Driven Sciences: Exploring Complex Interactions at Plasma Boundaries2024

    • 著者名/発表者名
      Ishikawa Kenji、Koga Kazunori、Ohno Noriyasu
    • 雑誌名

      Plasma

      巻: 7 号: 1 ページ: 160-177

    • DOI

      10.3390/plasma7010011

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] Inhibition of glutamine metabolism increases sensitivity to plasma-activated medium-induced cytotoxicity2024

    • 著者名/発表者名
      Tanaka Shu、Hayashi Sae、Otsuka Tomohiro、Kamiya Tetsuro、Ishikawa Kenji、Hara Hirokazu
    • 雑誌名

      Free Radical Research

      巻: 58 号: 3 ページ: 170-179

    • DOI

      10.1080/10715762.2024.2332343

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] <i>In situ</i> atom-resolved observation of Si (111) 7×7 surface with F radical and Ar ion irradiation simulated atomic layer etching2024

    • 著者名/発表者名
      Tsutsumi Takayoshi、Asano Atsuki、Kondo Hiroki、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • 雑誌名

      Journal of Vacuum Science &amp; Technology A

      巻: 42 号: 3 ページ: 032603-032603

    • DOI

      10.1116/6.0003432

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Nitrogen admixture effects on growth characteristics and properties of carbon nanowalls2024

    • 著者名/発表者名
      Christy Peter Raj Dennis、Van Nong Ngo、Britun Nikolay、Minh Ngo Quang、Nguyen Thi-Thuy-Nga、Kondo Hiroki、Oda Osamu、Ishikawa Kenji、Hori Masaru
    • 雑誌名

      Thin Solid Films

      巻: 795 ページ: 140322-140322

    • DOI

      10.1016/j.tsf.2024.140322

    • 査読あり / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] Dissociative properties of C<sub>4</sub>F<sub>6</sub> obtained using computational chemistry2024

    • 著者名/発表者名
      Hayashi Toshio、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 63 号: 4 ページ: 04SP26-04SP26

    • DOI

      10.35848/1347-4065/ad3166

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Oxygen radical irradiation transforms an organic fertilizer l-tryptophan into an environment and human-friendly bactericide2024

    • 著者名/発表者名
      Iwata Naoyuki、Ishikawa Kenji、Nishikawa Yasuhiro、Kato Hiroyuki、Shimizu Motoyuki、Kato Masashi、Tanaka Hiromasa、Ito Masafumi、Hori Masaru
    • 雑誌名

      Environmental Technology & Innovation

      巻: 33 ページ: 103496-103496

    • DOI

      10.1016/j.eti.2023.103496

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-23KJ2066, KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [雑誌論文] Generation and measurement of low-temperature plasma for cancer therapy: a historical review2023

    • 著者名/発表者名
      Ishikawa, Kenji; Takeda, Keigo; Yoshimura, Shinji; Kondo, Takashi; Tanaka, Hiromasa; Toyokuni, Shinya; Nakamura, Kae; Kajiyama, Hiroaki; Mizuno, Masaaki; Hori, Masaru
    • 雑誌名

      Free Radic. Res.

      巻: 57 号: 3 ページ: 239-270

    • DOI

      10.1080/10715762.2023.2230351

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-23K08884, KAKENHI-PROJECT-21K07697, KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [雑誌論文] GaN damage-free cyclic etching by sequential exposure to Cl2 plasma and Ar plasma with low Ar+ ion energy at substrate temperature of 400°C2023

    • 著者名/発表者名
      Nakamura Shohei、Tanide Atsushi、Kimura Takahiro、Nadahara Soichi、Ishikawa Kenji、Oda Osamu、Hori Masaru
    • 雑誌名

      Journal of Applied Physics

      巻: 133 号: 4 ページ: 043302-043302

    • DOI

      10.1063/5.0131685

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] An approach to reduce surface charging with cryogenic plasma etching using hydrogen-fluoride contained gases2023

    • 著者名/発表者名
      Hsiao Shih-Nan、Sekine Makoto、Ishikawa Kenji、Iijima Yuki、Ohya Yoshinobu、Hori Masaru
    • 雑誌名

      Applied Physics Letters

      巻: 123 号: 21 ページ: 1-4

    • DOI

      10.1063/5.0173553

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] Free radical formation induced by cold atmospheric plasma and its biological implications-Comparison with ionizing radiation- (低温大気圧プラズマによるフリーラジカル生成とその生物学的意義)2023

    • 著者名/発表者名
      Takashi Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, and Masaru Hori
    • 雑誌名

      化学工業

      巻: 74 ページ: 120-126

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] Effects of plasma-activated Ringer’s lactate solution on cancer cells: evaluation of genotoxicity2023

    • 著者名/発表者名
      Liu Yang、Nakatsu Yoshimichi、Tanaka Hiromasa、Koga Kazunori、Ishikawa Kenji、Shiratani Masaharu、Hori Masaru
    • 雑誌名

      Genes and Environment

      巻: 45 号: 1 ページ: 3-3

    • DOI

      10.1186/s41021-023-00260-x

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [雑誌論文] Organic decomposition and synthesis reactions in lactated solution exposed to nonequilibrium atmospheric pressure plasma2023

    • 著者名/発表者名
      Liu Yang、Ishikawa Kenji、Tanaka Hiromasa、Miron Camelia、Kondo Takashi、Nakamura Kae、Mizuno Masaaki、Kajiyama Hiroaki、Toyokuni Shinya、Hori Masaru
    • 雑誌名

      Plasma Processes and Polymers

      巻: 20 号: 5 ページ: 2200193-2200193

    • DOI

      10.1002/ppap.202200193

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-23K08884, KAKENHI-PROJECT-21H04451
  • [雑誌論文] High-performance glass filters for capturing and culturing circulating tumor cells and cancer-associated fibroblasts2023

    • 著者名/発表者名
      Tanaka Hiromasa、Iwata Daijiro、Shibata Yuki、Hase Tetsunari、Onoshima Daisuke、Yogo Naoyuki、Shibata Hirofumi、Sato Mitsuo、Ishikawa Kenji、Nagasawa Ikuo、Hasegawa Yoshinori、Ishii Makoto、Baba Yoshinobu、Hori Masaru
    • 雑誌名

      Scientific Reports

      巻: 13 号: 1 ページ: 4130-4130

    • DOI

      10.1038/s41598-023-31265-9

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-21H02924
  • [雑誌論文] Mechanical properties of maze-like carbon nanowalls synthesized by the radial injection plasma enhanced chemical vapor deposition method2023

    • 著者名/発表者名
      Ghodke Swapnil、Murashima Motoyuki、Christy Dennis、Van Nong Ngo、Ishikawa Kenji、Oda Osamu、Umehara Noritsugu、Hori Masaru
    • 雑誌名

      Materials Science and Engineering: A

      巻: 862 ページ: 144428-144428

    • DOI

      10.1016/j.msea.2022.144428

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] Bias-supply timing tailored to the aspect ratio dependence of silicon trench etching in Ar plasma with alternately injected C4F8 and SF62023

    • 著者名/発表者名
      Yoshie Taito、Ishikawa Kenji、Nguyen Thi-Thuy-Nga、Hsiao Shih-Nan、Tsutsumi Takayoshi、Sekine Makoto、Hori Masaru
    • 雑誌名

      Applied Surface Science

      巻: 638 ページ: 157981-157981

    • DOI

      10.1016/j.apsusc.2023.157981

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-23K03367, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] Plasma activated Ringer’s lactate solution2023

    • 著者名/発表者名
      Tanaka Hiromasa、Mizuno Masaaki、Ishikawa Kenji、Miron Camelia、Okazaki Yasumasa、Toyokuni Shinya、Nakamura Kae、Kajiyama Hiroaki、Hori Masaru
    • 雑誌名

      Free Radical Research

      巻: 57 号: 1 ページ: 14-20

    • DOI

      10.1080/10715762.2023.2182663

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-21K06968
  • [雑誌論文] 総説:プラズマなどで処理された水の多様性2023

    • 著者名/発表者名
      石川健治
    • 雑誌名

      静電気学会誌

      巻: 46 ページ: 209-209

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] Manipulation of etch selectivity of silicon nitride over silicon dioxide to a-carbon by controlling substrate temperature with a CF4/H2 plasma2023

    • 著者名/発表者名
      Hsiao Shih-Nan、Britun Nikolay、Nguyen Thi-Thuy-Nga、Tsutsumi Takayoshi、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • 雑誌名

      Vacuum

      巻: 210 ページ: 111863-111863

    • DOI

      10.1016/j.vacuum.2023.111863

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] 低温大気圧プラズマによるフリーラジカル生成とその生物学的意義-放射線との比較-2023

    • 著者名/発表者名
      近藤隆、橋爪博司、田中宏昌 石川健治、堀勝
    • 雑誌名

      化学工業

      巻: 74 ページ: 120-126

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets2023

    • 著者名/発表者名
      Yoshimura Shinji、Otsubo Yoko、Yamashita Akira、Johzuka Katsuki、Tsutsumi Takayoshi、Ishikawa Kenji、Hori Masaru
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 62 号: SL ページ: SL1011-SL1011

    • DOI

      10.35848/1347-4065/acd4ca

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-22K03592, KAKENHI-PROJECT-21H04451
  • [雑誌論文] Cancer-specific cytotoxicity of Ringer's acetate solution irradiated by cold atmospheric pressure plasma2023

    • 著者名/発表者名
      Miron, Camelia; Ishikawa, Kenji; Kashiwagura, Satoshi; Suda, Yuki; Tanaka, Hiromasa; Nakamura, Kae; Kajiyama, Hiroaki; Toyokuni, Shinya; Mizuno, Masaaki; Hori, Masaru
    • 雑誌名

      Free Radic. Res.

      巻: 57 号: 2 ページ: 91-104

    • DOI

      10.1080/10715762.2023.2201390

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-23K08884, KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [雑誌論文] Efficacy of periodic cold plasma treatment in a paddy to produce white-core grains in brewer’s rice cultivar Yamadanishiki2023

    • 著者名/発表者名
      Hashizume Hiroshi、Kitano Hidemi、Mizuno Hiroko、Abe Akiko、Yuasa Genki、Tohno Satoe、Tanaka Hiromasa、Ishikawa Kenji、Matsumoto Shogo、Sakakibara Hitoshi、Hirosue Yoji、Maeshima Masayoshi、Mizuno Masaaki、Hori Masaru
    • 雑誌名

      Free Radical Research

      巻: 57 号: 3 ページ: 161-173

    • DOI

      10.1080/10715762.2023.2215914

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-22K05612, KAKENHI-PROJECT-18KT0047, KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [雑誌論文] The Possibility of Cancer Therapy with a Combination of Low Temperature Plasma and Hyperthermia2023

    • 著者名/発表者名
      Takashi Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori
    • 雑誌名

      Therm Med

      巻: 39 ページ: 21-30

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] Elaborate cooperation of poly(rC)-binding proteins 1/2 and glutathione in ferroptosis induced by plasma-activated Ringer's lactate2023

    • 著者名/発表者名
      Jiang, Li; Zheng, Hao; Ishida, Moe; Lyu, Qinying; Akatsuka, Shinya; Motooka, Yashiro; Sato, Kotaro; Sekido, Yoshitaka; Nakamura, Kae; Tanaka, Hiromasa; Ishikawa, Kenji; Kajiyama, Hiroaki; Mizuno, Masaaki; Hori, Masaru; Toyokuni, Shinya
    • 雑誌名

      Free Radic. Biol. Med.

      巻: 214 ページ: 28-41

    • DOI

      10.1016/j.freeradbiomed.2024.02.001

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-23K08884, KAKENHI-PROJECT-21H04451
  • [雑誌論文] Plasma-Assisted Priming: Improved Germination and Seedling Performance of Papaya2023

    • 著者名/発表者名
      Xi Deng-Ke、Yap Seong Ling、Naresh Kumar Nitturi、Toh Chian Cheng、Ishikawa Kenji、Hori Masaru
    • 雑誌名

      Sains Malaysiana

      巻: 52 号: 2 ページ: 599-611

    • DOI

      10.17576/jsm-2023-5202-21

    • 査読あり
    • データソース
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451
  • [雑誌論文] Deposition of carbon‐based materials directly on copper foil and nickel foam as 2D‐ and 3D‐networked metal substrates by in‐liquid plasma2023

    • 著者名/発表者名
      Dela Vega Ma. Shanlene D. C.、Nguyen Thi‐Thuy‐Nga、Kondo Hiroki、Tsutsumi Takayoshi、Ishikawa Kenji、Hori Masaru
    • 雑誌名

      Plasma Processes and Polymers

      巻: 20 号: 11 ページ: 1-5

    • DOI

      10.1002/ppap.202300036

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] Gas-phase study of the behavior of trimethyl gallium and triethyl gallium by optical emission spectroscopy and quadrupole mass spectroscopy for the growth of GaN by REMOCVD (radical-enhanced metalorganic chemical vapor deposition)2023

    • 著者名/発表者名
      Dhasiyan Arun Kumar、Jayaprasad Swathy、Amalraj Frank Wilson、Shimizu Naohiro、Oda Osamu、Ishikawa Kenji、Hori Masaru
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 62 号: SN ページ: SN1019-SN1019

    • DOI

      10.35848/1347-4065/acfd34

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] Low‐temperature reduction of SnO 2 by floating wire‐assisted medium‐pressure H2/Ar plasma2022

    • 著者名/発表者名
      Nguyen Thi‐Thuy‐Nga、Sasaki Minoru、Hsiao Shih‐Nan、Tsutsumi Takayoshi、Ishikawa Kenji、Hori Masaru
    • 雑誌名

      Plasma Processes and Polymers

      巻: 19 号: 6 ページ: 2100209-2100209

    • DOI

      10.1002/ppap.202100209

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Effects of High-Quality Carbon Nanowalls Ionization-Assisting Substrates on Surface-Assisted Laser Desorption/Ionization Mass Spectrometry Performance2022

    • 著者名/発表者名
      Sakai Ryusei、Kondo Hiroki、Ishikawa Kenji、Ohta Takayuki、Hiramatsu Mineo、Tanaka Hiromasa、Hori Masaru
    • 雑誌名

      Nanomaterials

      巻: 13 号: 1 ページ: 63-63

    • DOI

      10.3390/nano13010063

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [雑誌論文] Impact of microsecond-pulsed plasma-activated water on papaya seed germination and seedling growth2022

    • 著者名/発表者名
      Xi Deng-Ke、Zhang Xian-Hui、Yang Si-Ze、Yap Seong Shan、Ishikawa Kenji、Hori Masura、Yap Seong Ling
    • 雑誌名

      Chinese Physics B

      巻: 31 号: 12 ページ: 128201-128201

    • DOI

      10.1088/1674-1056/ac904e

    • 査読あり / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] Biocompatibility of conformal silicon carbide on carbon nanowall scaffolds2022

    • 著者名/発表者名
      Ono Koki、Koide Takashi、Ishikawa Kenji、Tanaka Hiromasa、Kondo Hiroki、Sugawara-Narutaki Ayae、Jin Yong、Yasuhara Shigeo、Hori Masaru、Takeuchi Wakana
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 62 号: SA ページ: SA1017-SA1017

    • DOI

      10.35848/1347-4065/ac9319

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [雑誌論文] Wide range applications of process plasma diagnostics using vacuum ultraviolet absorption spectroscopy2022

    • 著者名/発表者名
      Takeda Keigo、Ishikawa Kenji、Hori Masaru
    • 雑誌名

      Reviews of Modern Plasma Physics

      巻: 6 号: 1 ページ: 13-13

    • DOI

      10.1007/s41614-022-00075-3

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01073
  • [雑誌論文] Leukocyte depletion and size-based enrichment of circulating tumor cells using a pressure-sensing microfiltration device.2022

    • 著者名/発表者名
      Onoshima D, *Hase T, Kihara N, Kuboyama D, Tanaka H, Ozawa N, Yukawa H, Sato M, Ishikawa K, Hasegawa Y, Ishii M, Hori M, Baba Y.
    • 雑誌名

      ACS Measurement Science Au

      巻: 3 号: 2 ページ: 113-119

    • DOI

      10.1021/acsmeasuresciau.2c00057

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PUBLICLY-21H05589, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H02924
  • [雑誌論文] Dissociation channels of c-C4F8 to C2F4 in reactive plasma2022

    • 著者名/発表者名
      Hayashi Toshio、Ishikawa Kenji、Iwayama Hiroshi、Sekine Makoto、Hori Masaru
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 61 号: 10 ページ: 106006-106006

    • DOI

      10.35848/1347-4065/ac895e

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] In‐liquid plasma synthesis of iron?nitrogen‐doped carbon nanoflakes with high catalytic activity2022

    • 著者名/発表者名
      Kondo Hiroki、Hamaji Ryo、Amano Tomoki、Ishikawa Kenji、Sekine Makoto、Hiramatsu Mineo、Hori Masaru
    • 雑誌名

      Plasma Processes and Polymers

      巻: 19 号: 8 ページ: 2100203-2100203

    • DOI

      10.1002/ppap.202100203

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] Plasma-assisted thermal-cyclic atomic-layer etching of tungsten and control of its selectivity to titanium nitride2022

    • 著者名/発表者名
      Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Yuko Hanaoka, Masaru Izawa, Kenji Ishikawa, Masaru Hori
    • 雑誌名

      Journal of Vacuum Science &amp; Technology B

      巻: 40 号: 2 ページ: 022201-022201

    • DOI

      10.1116/6.0001660

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Study of optical emission spectroscopy using modified Boltzmann plot in dual-frequency synchronized pulsed capacitively coupled discharges with DC bias at low-pressure in Ar/O2/C4F8 plasma etching process2022

    • 著者名/発表者名
      Sahu Bibhuti Bhusan、Nakane Kazuya、Ishikawa Kenji、Sekine Makoto、Tsutsumi Takayoshi、Gohira Taku、Ohya Yoshinobu、Ohno Noriyasu、Hori Masaru
    • 雑誌名

      Physical Chemistry Chemical Physics

      巻: 24 号: 22 ページ: 13883-13896

    • DOI

      10.1039/d2cp00289b

    • 査読あり / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Dry etching of ternary metal carbide TiAlC via surface modification using floating wire-assisted vapor plasma2022

    • 著者名/発表者名
      Nguyen Thi-Thuy-Nga、Shinoda Kazunori、Hamamura Hirotaka、Maeda Kenji、Yokogawa Kenetsu、Izawa Masaru、Ishikawa Kenji、Hori Masaru
    • 雑誌名

      Scientific Reports

      巻: 12 号: 1 ページ: 20394-20394

    • DOI

      10.1038/s41598-022-24949-1

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Scaffolds with isolated carbon nanowalls promote osteogenic differentiation through Runt-related transcription factor 2 and osteocalcin gene expression of osteoblast-like cells2022

    • 著者名/発表者名
      Ichikawa Tomonori、Ishikawa Kenji、Tanaka Hiromasa、Shimizu Naohiro、Hori Masaru
    • 雑誌名

      AIP Advances

      巻: 12 号: 2 ページ: 025216-025216

    • DOI

      10.1063/5.0075530

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-21K18616
  • [雑誌論文] Carbon Layer Formation on Hexagonal Boron Nitride by Plasma Processing in Hydroquinone Aqueous Solution2022

    • 著者名/発表者名
      K. Inoue, N. Sakakibara, T. Goto, T. Ito, Y. Shimizu, Y. Hakuta, K. Ishikawa, M. Hori and K. Terashima
    • 雑誌名

      ACS Applied Materials & Interfaces

      巻: 44 号: 47 ページ: 53413-53420

    • DOI

      10.1021/acsami.2c15951

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04450, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-19H01885, KAKENHI-PROJECT-22KJ1266, KAKENHI-PROJECT-21J13463
  • [雑誌論文] Effects of deposition precursors of hydrogenated amorphous carbon films on the plasma etching resistance based on mass spectrometer measurements and machine learning analysis2022

    • 著者名/発表者名
      Kurokawa Jumpei、Kondo Hiroki、Tsutsumi Takayoshi、Ishikawa Kenji、Sekine Makoto、Hori Masaru
    • 雑誌名

      Vacuum

      巻: 205 ページ: 111351-111351

    • DOI

      10.1016/j.vacuum.2022.111351

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073, KAKENHI-PROJECT-20K14453
  • [雑誌論文] Cytotoxicity of plasma-irradiated lactate solution produced under atmospheric airtight conditions and generation of the methyl amino group2022

    • 著者名/発表者名
      Ito Daiki、Iwata Naoyuki、Ishikawa Kenji、Nakamura Kae、Hashizume Hiroshi、Miron Camelia、Tanaka Hiromasa、Kajiyama Hiroaki、Toyokuni Shinya、Mizuno Masaaki、Hori Masaru
    • 雑誌名

      Applied Physics Express

      巻: 15 号: 5 ページ: 056001-056001

    • DOI

      10.35848/1882-0786/ac6360

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072
  • [雑誌論文] Science-based, data-driven developments in plasma processing for material synthesis and device-integration technologies2022

    • 著者名/発表者名
      Kambara Makoto、Kawaguchi Satoru、Lee Hae June、Ikuse Kazumasa、Hamaguchi Satoshi、Ohmori Takeshi、Ishikawa Kenji
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 62 号: SA ページ: SA0803-SA0803

    • DOI

      10.35848/1347-4065/ac9189

    • 査読あり / オープンアクセス / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H04453, KAKENHI-PROJECT-21H01073
  • [雑誌論文] Perspectives on functional nitrogen science and plasma-based in situ functionalization2021

    • 著者名/発表者名
      Ishikawa Kenji
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 61 号: SA ページ: SA0802-SA0802

    • DOI

      10.35848/1347-4065/ac3558

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451
  • [雑誌論文] Lysosomal nitric oxide determines transition from autophagy to ferroptosis after exposure to plasma-activated Ringer's lactate2021

    • 著者名/発表者名
      Jiang Li、Zheng Hao、Lyu Qinying、Hayashi Shotaro、Sato Kotaro、Sekido Yoshitaka、Nakamura Kae、Tanaka Hiromasa、Ishikawa Kenji、Kajiyama Hiroaki、Mizuno Masaaki、Hori Masaru、Toyokuni Shinya
    • 雑誌名

      Redox Biology

      巻: 43 ページ: 101989-101989

    • DOI

      10.1016/j.redox.2021.101989

    • 査読あり / オープンアクセス / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-19H05462, KAKENHI-PUBLICLY-20H05502, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-19H03527, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-21K18616
  • [雑誌論文] Functional nitrogen science based on plasma processing: quantum devices, photocatalysts and activation of plant defense and immune systems2021

    • 著者名/発表者名
      Kaneko Toshiro、Kato Hiromitsu、Yamada Hideaki、Yamamoto Muneaki、Yoshida Tomoko、Attri Pankaj、Koga Kazunori、Murakami Tomoyuki、Kuchitsu Kazuyuki、Ando Sugihiro、Nishikawa Yasuhiro、Tomita Kentaro、Ono Ryo、Ito Tsuyohito、Ito Atsushi M.、Eriguchi Koji、Nozaki Tomohiro、Tsutsumi Takayoshi、Ishikawa Kenji
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 61 号: SA ページ: SA0805-SA0805

    • DOI

      10.35848/1347-4065/ac25dc

    • 査読あり / オープンアクセス / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-20K14454, KAKENHI-PROJECT-18H01232, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-18H03687, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-20H01880, KAKENHI-PROJECT-20H02990, KAKENHI-PROJECT-21K18613, KAKENHI-PROJECT-21K18615, KAKENHI-PROJECT-20KK0089, KAKENHI-PROJECT-19K03813, KAKENHI-PROJECT-18H03815
  • [雑誌論文] 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 はじめに2021

    • 著者名/発表者名
      竹田圭吾,石川健治
    • 雑誌名

      プラズマ核融合学会誌

      巻: 97 ページ: 508-510

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Cancer Treatments Using Low-Temperature Plasma2021

    • 著者名/発表者名
      Tanaka Hiromasa、Mizuno Masaaki、Ishikawa Kenji、Toyokuni Shinya、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • 雑誌名

      Current Medicinal Chemistry

      巻: 28 号: 41 ページ: 8549-8558

    • DOI

      10.2174/0929867328666210629121731

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-21K18616
  • [雑誌論文] Hydrogen peroxide in lactate solutions irradiated by non-equilibrium atmospheric pressure plasma2021

    • 著者名/発表者名
      Liu Yang、Ishikawa Kenji、Miron Camelia、Hashizume Hiroshi、Tanaka Hiromasa、Hori Masaru
    • 雑誌名

      Plasma Sources Science and Technology

      巻: 30 号: 4 ページ: 04LT03-04LT03

    • DOI

      10.1088/1361-6595/abbbd4

    • 査読あり
    • データソース
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-21K18616
  • [雑誌論文] Plasma‐activated Ringer's lactate solution inhibits the cellular respiratory system in HeLa cells2021

    • 著者名/発表者名
      Tanaka Hiromasa、Maeda Shogo、Nakamura Kae、Hashizume Hiroshi、Ishikawa Kenji、Ito Mikako、Ohno Kinji、Mizuno Masaaki、Motooka Yashiro、Okazaki Yasumasa、Toyokuni Shinya、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • 雑誌名

      Plasma Processes and Polymers

      巻: 18 号: 10 ページ: 2100056-2100056

    • DOI

      10.1002/ppap.202100056

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K06925, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-21K18616
  • [雑誌論文] On the Etching Mechanism of Highly Hydrogenated SiN Films by CF4/D2 Plasma: Comparison with CF4/H22021

    • 著者名/発表者名
      Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • 雑誌名

      Coatings

      巻: 11 号: 12 ページ: 1535-1535

    • DOI

      10.3390/coatings11121535

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] プラズマがん治療2021

    • 著者名/発表者名
      石川健治, 堀勝
    • 雑誌名

      静電気学会

      巻: 45 ページ: 206-212

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] Low temperature plasma irradiation products of sodium lactate solution that induce cell death on U251SP glioblastoma cells were identified2021

    • 著者名/発表者名
      Tanaka Hiromasa、Hosoi Yugo、Ishikawa Kenji、Yoshitake Jun、Shibata Takahiro、Uchida Koji、Hashizume Hiroshi、Mizuno Masaaki、Okazaki Yasumasa、Toyokuni Shinya、Nakamura Kae、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • 雑誌名

      Scientific Reports

      巻: 11 号: 1 ページ: 1-10

    • DOI

      10.1038/s41598-021-98020-w

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-21K06968, KAKENHI-PROJECT-21K18616, KAKENHI-PROJECT-17H06170
  • [雑誌論文] Effects of free radicals generated by atmospheric pressure plasma on physical actions and biochemical relaxations (PACR)2021

    • 著者名/発表者名
      石川健治, 橋爪博司, カメリアミロン, 田中宏昌, 堀勝
    • 雑誌名

      放射線生物研究

      巻: 56 ページ: 280-294

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H04451
  • [雑誌論文] 先端デバイス構造を実現する超絶ドライエッチング技術の最前線 おわりに2021

    • 著者名/発表者名
      竹田圭吾,石川健治
    • 雑誌名

      プラズマ核融合学会誌

      巻: 97 ページ: 534-536

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Towards prevention and prediction of infectious diseases with virus sterilization using ultraviolet light and low-temperature plasma and bio-sensing devices for health and hygiene care2021

    • 著者名/発表者名
      Kumagai Shinya、Nishigori Chikako、Takeuchi Tetsuya、Bruggeman Peter、Takashima Keisuke、Takahashi Hideki、Kaneko Toshiro、Choi Eun Ha、Nakazato Kazuo、Kambara Makoto、Ishikawa Kenji
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 61 号: SA ページ: SA0808-SA0808

    • DOI

      10.35848/1347-4065/ac1c3d

    • 査読あり / オープンアクセス / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-18H03687, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21K18613, KAKENHI-PROJECT-20H01890
  • [雑誌論文] Enhancement of ethanol production and cell growth in budding yeast by direct irradiation of low-temperature plasma2021

    • 著者名/発表者名
      Tanaka Hiromasa、Matsumura Shogo、Ishikawa Kenji、Hashizume Hiroshi、Ito Masafumi、Nakamura Kae、Kajiyama Hiroaki、Kikkawa Fumitaka、Ito Mikako、Ohno Kinji、Okazaki Yasumasa、Toyokuni Shinya、Mizuno Masaaki、Hori Masaru
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 61 号: SA ページ: SA1007-SA1007

    • DOI

      10.35848/1347-4065/ac2037

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-20K06925, KAKENHI-PROJECT-20K09640, KAKENHI-PROJECT-21K18616
  • [雑誌論文] 窒化物半導体プラズマエッチングにおける原子層反応制御と低ダメージプロセス2021

    • 著者名/発表者名
      堤隆嘉,石川健治,近藤博基,関根誠,堀勝
    • 雑誌名

      プラズマ核融合学会誌

      巻: 97 ページ: 517-521

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073
  • [雑誌論文] Effects of hydrogen content in films on the etching of LPCVD and PECVD SiN films using CF4/H2 plasma at different substrate temperatures2021

    • 著者名/発表者名
      Shih‐Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • 雑誌名

      Plasma Processes and Polymers

      巻: 18 号: 11 ページ: 2100078-2100078

    • DOI

      10.1002/ppap.202100078

    • 査読あり
    • データソース
      KAKENHI-PROJECT-21H01073, KAKENHI-PROJECT-20K14453
  • [雑誌論文] Brain cell proliferation in adult rats after irradiation with nonequilibrium atmospheric pressure plasma2021

    • 著者名/発表者名
      Masanori YAMATO, et al
    • 雑誌名

      Applied Phisics Express

      巻: in press 号: 6 ページ: 067002-067002

    • DOI

      10.35848/1882-0786/ac03c1

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-17K13025, KAKENHI-PROJECT-19K07682, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-19H05650, KAKENHI-PROJECT-21H04451, KAKENHI-PROJECT-21H01072, KAKENHI-PROJECT-21K18616
  • [雑誌論文] Electron spin resonance as a tool to monitor the influence of novel processing technologies on food properties2020

    • 著者名/発表者名
      Francisco J. Barba, Shahin Roohinejad, Kenji Ishikawa, Sze Ying Leong, Alaa El-Din A Bekhit, Jorge A. Saraiva, and Nikolai Lebovka
    • 雑誌名

      Trends in Food Science and Technology

      巻: 100 ページ: 77-87

    • DOI

      10.1016/j.tifs.2020.03.032

    • 査読あり / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [雑誌論文] Atmospheric Pressure Plasma-Treated Carbon Nanowalls’ Surface-Assisted Laser Desorption/Ionization Time-of-Flight Mass Spectrometry (CNW-SALDI-MS)2019

    • 著者名/発表者名
      Ohta Takayuki、Ito Hironori、Ishikawa Kenji、Kondo Hiroki、Hiramatsu Mineo、Hori Masaru
    • 雑誌名

      C

      巻: 5 号: 3 ページ: 40-40

    • DOI

      10.3390/c5030040

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Effect of electrical stimulation on proliferation and bone-formation by osteoblast-like cells cultured on carbon nanowall scaffolds2019

    • 著者名/発表者名
      Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Applied Physics Express

      巻: 12 号: 2 ページ: 025006-025006

    • DOI

      10.7567/1882-0786/aaf469

    • NAID

      210000135543

    • 査読あり
    • データソース
      KAKENHI-PROJECT-17H02805
  • [雑誌論文] Non-thermal plasma-activated medium modified metabolomic profiles in the glycolysis of U251SP glioblastoma2019

    • 著者名/発表者名
      Kurake Naoyuki、Ishikawa Kenji、Tanaka Hiromasa、Hashizume Hiroshi、Nakamura Kae、Kajiyama Hiroaki、Toyokuni Shinya、Kikkawa Fumitaka、Mizuno Masaaki、Hori Masaru
    • 雑誌名

      Archives of Biochemistry and Biophysics

      巻: 662 ページ: 83-92

    • DOI

      10.1016/j.abb.2018.12.001

    • 査読あり
    • データソース
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Systematic diagnostics of the electrical, optical, and physicochemical characteristics of low-temperature atmospheric-pressure helium plasma sources2019

    • 著者名/発表者名
      Keigo Takeda, Hiromasa Yamada, Kenji Ishikawa, Hajime Sakakita, Jaeho Kim, Masashi Ueda, Jun-ichiro Ikeda, Yoshihiro Akimoto, Yosky Kataoka, Naoaki Yokoyama, Yuzuru Ikehara, and Masaru Hori
    • 雑誌名

      Journal of Physics D:Applied Physics

      巻: 52 号: 16 ページ: 165202-165202

    • DOI

      10.1088/1361-6463/aaff44

    • 査読あり
    • データソース
      KAKENHI-PROJECT-17H02805, KAKENHI-PROJECT-18H02724, KAKENHI-PROJECT-17K18774
  • [雑誌論文] Gene Expression of Osteoblast-like Cells on Carbon-Nanowall as Scaffolds during Incubation with Electrical Stimulation2019

    • 著者名/発表者名
      Ichikawa Tomonori、Kondo Hiroki、Ishikawa Kenji、Tsutsumi Takayoshi、Tanaka Hiromasa、Sekine Makoto、Hori Masaru
    • 雑誌名

      ACS Applied Bio Materials

      巻: 2 号: 7 ページ: 2698-2702

    • DOI

      10.1021/acsabm.9b00178

    • 査読あり
    • データソース
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Simultaneous achievement of antimicrobial property and plant growth promotion using plasma‐activated benzoic compound solution2019

    • 著者名/発表者名
      Iwata Naoyuki、Gamaleev Vladislav、Hashizume Hiroshi、Oh Jun‐Seok、Ohta Takayuki、Ishikawa Kenji、Hori Masaru、Ito Masafumi
    • 雑誌名

      Plasma Processes and Polymers

      巻: 16 号: 8 ページ: 1900023-1900023

    • DOI

      10.1002/ppap.201900023

    • 査読あり
    • データソース
      KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Plasma-activated solution alters the morphological dynamics of supported lipid bilayers observed by high-speed atomic force microscopy2019

    • 著者名/発表者名
      Yamaoka Sotaro、Kondo Hiroki、Hashizume Hiroshi、Ishikawa Kenji、Tanaka Hiromasa、Hori Masaru
    • 雑誌名

      Applied Physics Express

      巻: 12 号: 6 ページ: 066001-066001

    • DOI

      10.7567/1882-0786/ab1a58

    • NAID

      210000155782

    • 査読あり
    • データソース
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Oxidative stress-dependent and -independent death of glioblastoma cells induced by non-thermal plasma-exposed solutions2019

    • 著者名/発表者名
      Tanaka Hiromasa、Mizuno Masaaki、Katsumata Yuko、Ishikawa Kenji、Kondo Hiroki、Hashizume Hiroshi、Okazaki Yasumasa、Toyokuni Shinya、Nakamura Kae、Yoshikawa Nobuhisa、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • 雑誌名

      Scientific Reports

      巻: 9 号: 1 ページ: 13657-13657

    • DOI

      10.1038/s41598-019-50136-w

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-19H05462, KAKENHI-PROJECT-17H02805, KAKENHI-PROJECT-17H04064
  • [雑誌論文] Glioblastoma cell lines display different sensitivities to plasma-activated medium2018

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
    • 雑誌名

      IEEE Plasma Radiation and Plasma Medical Sciences

      巻: 2 号: 2 ページ: 99-102

    • DOI

      10.1109/trpms.2017.2721973

    • 査読あり / 国際共著/国際学会である
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Selective production of ROS and RNS in the plasma treated water by using nonthermal low- and high-frequency plasma jets2018

    • 著者名/発表者名
      Giichiro Uchida, Kosuke Takenaka, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Yuichi Setsuhara
    • 雑誌名

      Jpn J Appl Phys

      巻: 57 号: 1 ページ: 0102B4-0102B4

    • DOI

      10.7567/jjap.57.0102b4

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Cytotoxic effects of plasma-irradiated fullerenol2018

    • 著者名/発表者名
      Kanno Daiki、Tanaka Hiromasa、Ishikawa Kenji、Hashizume Hiroshi、Hori Masaru
    • 雑誌名

      Journal of Physics D: Applied Physics

      巻: 51 号: 37 ページ: 375401-375401

    • DOI

      10.1088/1361-6463/aad510

    • 査読あり
    • データソース
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Cytotoxicity on cancer HeLa cells sensitively against normal MCF10A cells in cultivations with cell culture medium treated by microwave-excited atmospheric pressure plasmas2018

    • 著者名/発表者名
      Yohei Takahashi, Yusuke Taki, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, and Masaru Hori
    • 雑誌名

      J. Phys.D: Appl. Phys.

      巻: 51 号: 9 ページ: 095202-095202

    • DOI

      10.1088/1361-6463/aaa885

    • 査読あり
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Effect of Plasma-Activated Lactated Ringer’s Solution on Pancreatic Cancer Cells In Vitro and In Vivo2018

    • 著者名/発表者名
      Yusuke Sato, Suguru Yamada, Shigeomi Takeda, Norifumi Hattori, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Masaru Hori, Yasuhiro Kodera
    • 雑誌名

      Ann Surg Oncol

      巻: 25 号: 1 ページ: 23-30

    • DOI

      10.1245/s10434-017-6239-y

    • 査読あり
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805, KAKENHI-PROJECT-18H00238
  • [雑誌論文] Molecular mechanisms of non-thermal plasma-induced effects in cancer cells2018

    • 著者名/発表者名
      Tanaka Hiromasa、Mizuno Masaaki、Ishikawa Kenji、Toyokuni Shinya、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • 雑誌名

      Biological Chemistry

      巻: 400 号: 1 ページ: 87-91

    • DOI

      10.1515/hsz-2018-0199

    • NAID

      120006577057

    • 査読あり
    • データソース
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Free radical generation by non-equilibrium atmospheric pressure plasma in alcohol-water mixtures. An EPR-spin trapping study2018

    • 著者名/発表者名
      Hidefumi Uchiyama, Qing-Li Zhao, Gabor Andocs, Nobuyuki Nojima, Keigo Takeda, Murali Krishna, Yuji Matsuya, Kenji Ishikawa, Masaru Hori, Kyo Noguchi, and Takashi Kondo
    • 雑誌名

      J. Phys.D: Appl. Phys.

      巻: 51 号: 11 ページ: 026201-026201

    • DOI

      10.1088/1361-6463/aaab09

    • 査読あり / 国際共著/国際学会である
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [雑誌論文] New Hopes for Plasma-Based Cancer Treatment2018

    • 著者名/発表者名
      Tanaka Hiromasa、Mizuno Masaaki、Ishikawa Kenji、Toyokuni Shinya、Kajiyama Hiroaki、Kikkawa Fumitaka、Hori Masaru
    • 雑誌名

      Plasma

      巻: 1 号: 1 ページ: 150-155

    • DOI

      10.3390/plasma1010014

    • 査読あり
    • データソース
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Low-autofluorescence fluoropolymer membrane filters for cell filtration2018

    • 著者名/発表者名
      Kihara Naoto、Kuboyama Daiki、Onoshima Daisuke、Ishikawa Kenji、Tanaka Hiromasa、Ozawa Naoya、Hase Tetsunari、Koguchi Ryohei、Yukawa Hiroshi、Odaka Hidefumi、Hasegawa Yoshinori、Baba Yoshinobu、Hori Masaru
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 57 号: 6S2 ページ: 06JF03-06JF03

    • DOI

      10.7567/jjap.57.06jf03

    • NAID

      210000149208

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-18K03599, KAKENHI-PROJECT-17H02805, KAKENHI-PLANNED-17H06354
  • [雑誌論文] Reduced HeLa cell viability in methionine-containing cell culture medium irradiated with microwave-excited atmospheric-pressure plasma2018

    • 著者名/発表者名
      Yohei Takahashi, Yusuke Taki, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, and Masaru Hori
    • 雑誌名

      Plasma Processes Polymers

      巻: 15 号: 3 ページ: 1700200-1700200

    • DOI

      10.1002/ppap.201700200

    • 査読あり
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Cell Deposition Microchip with Micropipette Control over Liquid Interface Motion, Cell Medicine2018

    • 著者名/発表者名
      D. Onoshima, Y. Hattori, H. Yukawa, K. Ishikawa, M. Hori, Y. Baba
    • 雑誌名

      Cell Medicine

      巻: 10 ページ: 1-5

    • DOI

      10.1177/2155179017733152

    • 査読あり
    • データソース
      KAKENHI-PROJECT-16H02091, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Cold atmospheric helium plasma causes synergistic enhancement in cell death with hyperthermia and an additive enhancement with radiation2017

    • 著者名/発表者名
      Moniruzzaman Rohan, Qing-Li Zhao, Paras Jawaid, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Kei Tomihara, Noguchi Kyo, Takashi Kondo, and Makoto Noguchi
    • 雑誌名

      Scientific Reports

      巻: 7 号: 1 ページ: 11659-11659

    • DOI

      10.1038/s41598-017-11877-8

    • 査読あり / オープンアクセス / 国際共著/国際学会である
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-15H04904, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Intracellular responses to reactive oxygen and nitrogen species, and lipid peroxidation: Induction of apoptotic death in HeLa cells following cultivation in non-equilibrium (cold) atmospheric pressure plasma-activated medium2017

    • 著者名/発表者名
      Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • 雑誌名

      Plasma Process and Polymers

      巻: 14 号: 11 ページ: 1700123-1700123

    • DOI

      10.1002/ppap.201700123

    • 査読あり
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Crystallization of calcium oxalate dihydrate in a buffered calcium-containing glucose solution by irradiation with non-equilibrium atmospheric pressure plasma2017

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yuzuru Ikehara, and Masaru Hori
    • 雑誌名

      J Appl Phys

      巻: 122 号: 14 ページ: 143301-143301

    • DOI

      10.1063/1.5006598

    • 査読あり
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Bactericidal pathway of Escherichia coli in buffered saline treated with oxygen radicals2017

    • 著者名/発表者名
      Tsuyoshi Kobayashi, Natsumi Iwata, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • 雑誌名

      Journal of Physics D: Applied Physics

      巻: 50 号: 15 ページ: 1552081-7

    • DOI

      10.1088/1361-6463/aa61d7

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-26286072
  • [雑誌論文] Intracellular-molecular changes in plasma-irradiated budding yeast cells studied using multiplex coherent anti-Stokes Raman scattering microscopy2017

    • 著者名/発表者名
      Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Phys. Chem. Chem. Phys.

      巻: 19 号: 21 ページ: 13438-13442

    • DOI

      10.1039/c7cp00489c

    • NAID

      120006337722

    • 査読あり
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Spatial distributions of O, N, NO, OH and vacuum ultraviolet light along gas flow direction in an AC-excited atmospheric pressure Ar plasma jet generated in open air2017

    • 著者名/発表者名
      Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, and Masaru Hori
    • 雑誌名

      J. Phys. D: Appl. Phys.

      巻: 50 号: 19 ページ: 195202-195202

    • DOI

      10.1088/1361-6463/aa6555

    • 査読あり
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Effects of OH and NO radicals in the aqueous phase on H2O2 and NO2- generated in plasma-activated medium2017

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Takashi Kondo, Fumitaka Kikkawa, Masaaki Mizuno and Masaru Hori
    • 雑誌名

      J. Phys. D: Appl. Phys.

      巻: 50 号: 15 ページ: 155201-155201

    • DOI

      10.1088/1361-6463/aa5f1d

    • 査読あり
    • データソース
      KAKENHI-ORGANIZER-24108001, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [雑誌論文] State of the art in medical applications using non-thermal atmospheric pressure plasma2017

    • 著者名/発表者名
      Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Fumitaka Kikkawa, Hans-Robert Metelmann, and Masaru Hori
    • 雑誌名

      Rev. Mod. Plasma Phys.

      巻: 1 号: 1 ページ: 3-3

    • DOI

      10.1007/s41614-017-0004-3

    • 査読あり / 国際共著/国際学会である
    • データソース
      KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Hydrogen peroxide sensor based on carbon nanowalls grown by plasma-enhanced chemical vapor deposition2017

    • 著者名/発表者名
      Masakazu Tomatsu, Mineo Hiramatsu, John S. Foord, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Keigo Takeda and Masaru Hori
    • 雑誌名

      Jpn. J. Appl. Phys.

      巻: 56 号: 6S2 ページ: 06HF03-06HF03

    • DOI

      10.7567/jjap.56.06hf03

    • NAID

      210000147974

    • 査読あり
    • データソース
      KAKENHI-PROJECT-15H02032
  • [雑誌論文] Oxygen-radical pretreatment promotes cellulose degradation by cellulolytic enzymes2017

    • 著者名/発表者名
      Sakai Kiyota、Kojiya Saki、Kamijo Junya、Tanaka Yuta、Tanaka Kenta、Maebayashi Masahiro、Oh Jun-Seok、Ito Masafumi、Hori Masaru、Shimizu Motoyuki、Kato Masashi
    • 雑誌名

      Biotechnology for Biofuels

      巻: 10 号: 1 ページ: 290-290

    • DOI

      10.1186/s13068-017-0979-6

    • 査読あり / オープンアクセス / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17K07734, KAKENHI-WRAPUP-17H06075, KAKENHI-PROJECT-17H02805
  • [雑誌論文] Behavior of absolute densities of atomic oxygen in the gas phase near an object surface in an AC-excited atmospheric pressure He plasma jet2017

    • 著者名/発表者名
      Keigo Takeda Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka3  Makoto Sekine  Masaru Hori
    • 雑誌名

      Applied Physics Express

      巻: 10 号: 3 ページ: 036201-036201

    • DOI

      10.7567/apex.10.036201

    • NAID

      210000135797

    • 査読あり / 謝辞記載あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [雑誌論文] Effect of gas residence time on near-edge X-ray absorption fine structures of hydrogenated amorphous carbon films grown by plasma-enhanced chemical vapor deposition2016

    • 著者名/発表者名
      L. Jia, H. Sugiura, H. Kondo, K. Takeda, K. Ishikawa, O. Oda, M. Sekine, M. Hiramatsu, M. Hori
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 55 号: 4 ページ: 040305-040305

    • DOI

      10.7567/jjap.55.040305

    • NAID

      210000146221

    • 査読あり
    • データソース
      KAKENHI-PROJECT-15H02032
  • [雑誌論文] Non-Thermal atmospheric pressure plasma activated lactate in Ringer’s solution for anti-tumor effects2016

    • 著者名/発表者名
      H.Tanaka, K.Nakamura, M.Mizuno, K.Ishikawa, K.Takeda, h.Kajiyama, F.Utsumi, F.Kikkawa, M.Hori
    • 雑誌名

      Scientific Report

      巻: 6 号: 1 ページ: 36282-36282

    • DOI

      10.1038/srep36282

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PLANNED-24108008, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [雑誌論文] Cell survival of glioblastoma grown in medium containing hydrogen peroxide and/or nitrite, or in plasma-activated medium2016

    • 著者名/発表者名
      Kurake N, Tanaka H, Ishikawa K, Kondo T, Sekine M, Nakamura K, Kajiyama Hi, Kikkaw F, Mizun M, Hori M
    • 雑誌名

      Arch Biochem Biophys

      巻: 未定 ページ: 102-108

    • DOI

      10.1016/j.abb.2016.01.011

    • 査読あり / 謝辞記載あり
    • データソース
      KAKENHI-PUBLICLY-15H00892, KAKENHI-PUBLICLY-15H00900, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PLANNED-24108008, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [雑誌論文] Helium based cold atmospheric plasma-induced reactive oxygen species-mediated apoptotic pathway attenuated by platinum nanoparticles.2016

    • 著者名/発表者名
      Jawaid P, Rehman MU, Zhao QL, Takeda K, Ishikawa K, Hori M, Shimizu T, Kondo T:
    • 雑誌名

      J. Cell Mol Med

      巻: 20 号: 9 ページ: 1737-1748

    • DOI

      10.1111/jcmm.12880

    • 査読あり / 謝辞記載あり / オープンアクセス
    • データソース
      KAKENHI-PUBLICLY-15H00892, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-15H04904
  • [雑誌論文] Microfluidic Transport Through Microsized Holes Treated by Nonequilibrium Atmospheric-Pressure Plasma2016

    • 著者名/発表者名
      Takumi Ito, Kenji Ishikawa,Daisuke Onoshima, Naoto Kihara,Kentaro Tatsukoshi Hidefumi Odaka, Hiroshi Hashizume,Hiromasa Tanaka,Hiroshi Yukawa, Keigo Takeda Hiroki Kondo, Makoto Sekine, Yoshinobu Baba, Masaru Hori
    • 雑誌名

      IEEE Transactions on Plasma Science

      巻: PP 号: 12 ページ: 3060-3065

    • DOI

      10.1109/tps.2016.2571721

    • NAID

      120005981198

    • 査読あり / 謝辞記載あり
    • データソース
      KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390
  • [雑誌論文] Synthesis of calcium oxalate crystals in culture medium irradiated with non-equilibrium atmospheric-pressure plasma2016

    • 著者名/発表者名
      N. Kurake., et. al.,
    • 雑誌名

      Applied Physics Express

      巻: 9 号: 9 ページ: 096201-096201

    • DOI

      10.7567/apex.9.096201

    • 査読あり / 謝辞記載あり / オープンアクセス / 国際共著/国際学会である
    • データソース
      KAKENHI-PUBLICLY-15H00903, KAKENHI-ORGANIZER-24108001, KAKENHI-PROJECT-16H04307, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-15K13390, KAKENHI-PROJECT-15K13917
  • [雑誌論文] Cold plasma interactions with enzymes in foods and model systems2016

    • 著者名/発表者名
      N. N. Misra, S. K. Pankaj, Annalisa Segat, Kenji Ishikawa
    • 雑誌名

      Trends in Food Science & Technology

      巻: 55 ページ: 39-47

    • DOI

      10.1016/j.tifs.2016.07.001

    • 査読あり / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-26286071
  • [雑誌論文] Effects of assisted magnetic field to an atmospheric-pressure plasma jet on radical generation at the plasma-surface interface and bactericidal function2016

    • 著者名/発表者名
      Chih-Tung Liu, Takumi Kumakura, Kenji Ishikawa, Hiroshi Hashizume, Keigo Takeda, Masafumi Ito, Jong-Shinn Wu, and Masaru Hori
    • 雑誌名

      Plasma Sources Sci. Technol.

      巻: 25 号: 6 ページ: 065005-065005

    • DOI

      10.1088/0963-0252/25/6/065005

    • 査読あり / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Red Blood Cell Coagulation Induced by Low-temperature Plasma Treatment.2016

    • 著者名/発表者名
      Miyamoto K, Ikehara S, Takei H, Akimoto Y, Sakakita H, Ishikawa K, Ueda M, Ikeda J, Yamagishi M, Kim J, Yamaguchi T, Nakanishi H, Shimizu N, Hori M, Ikehara Y.
    • 雑誌名

      Arch Biochem Biophys

      巻: in press ページ: 95-101

    • DOI

      10.1016/j.abb.2016.03.023

    • 査読あり / 謝辞記載あり / 国際共著/国際学会である
    • データソース
      KAKENHI-PUBLICLY-15H00894, KAKENHI-PROJECT-25460435, KAKENHI-PUBLICLY-15H00895, KAKENHI-PUBLICLY-15H00901, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PLANNED-24108006
  • [雑誌論文] The effects of nitrogen on apoptosis and changes in gene expression in human lymphoma U937 cells exposed to argon-cold atmospheric pressure plasma2016

    • 著者名/発表者名
      Tabuchi Y, Uchiyama H, Zhao QL, Yunoki T, Andocs G, Nojima N, Takeda K, Ishikawa K, Hori M, Kondo T
    • 雑誌名

      In J Mol Med

      巻: 37 号: 6 ページ: 1706-1714

    • DOI

      10.3892/ijmm.2016.2574

    • 査読あり / 謝辞記載あり
    • データソース
      KAKENHI-PUBLICLY-15H00892, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002
  • [雑誌論文] Real-time temperature-monitoring of Si substrate during plasma processing and its heat-flux analysis2015

    • 著者名/発表者名
      T. Tsutsumi, K. Ishikawa, K. Takeda, H. Kondo, T. Ohta, M. Ito, M. Sekine, M. Hori
    • 雑誌名

      Japanese Journal of Applied Physices

      巻: 54 号: 1S ページ: 01AB04-01AB04

    • DOI

      10.7567/jjap.55.01ab04

    • NAID

      210000145944

    • 査読あり
    • データソース
      KAKENHI-PROJECT-15H02032, KAKENHI-PROJECT-25286080
  • [雑誌論文] Plasma with high electron density and plasma-activated medium for cancer treatment2015

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, H. Kondo, K. Takeda, H. Hashizume, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Akiyama, S. Maruyama, S. Yamada, Y. Kodera, H. Kaneko, H. Terasaki, H. Hara, T. Adachi, M. Iida, I. Yajima, M. Kato, F. Kikkawa, M. Hori
    • 雑誌名

      Clinical Plasma Medicine

      巻: 3 号: 2 ページ: 72-76

    • DOI

      10.1016/j.cpme.2015.09.001

    • 査読あり / 謝辞記載あり / オープンアクセス
    • データソース
      KAKENHI-PROJECT-15K13390, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108008, KAKENHI-PROJECT-15H05430, KAKENHI-PROJECT-25461717
  • [雑誌論文] Decreased expression levels of cell cycle regulators and matrix metalloproteinases in melanoma from RET-transgenic mice by single irradiation of non-equilibrium atmospheric pressure plasmas2015

    • 著者名/発表者名
      M. Iida, I. Yajima, N. Ohgami, L. Xiang, C. Zou, K. Ishikawa, M. Hori, M. Kato
    • 雑誌名

      International Journal of Clinical and Experimental Pathology

      巻: 8 ページ: 9326-9331

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Hydrofluorocarbon ion density of argon- or krypton-diluted CH2F2 plasmas: Generation of CH2F+ and CHF2+ by dissociative-ionization in charge exchange collisions2015

    • 著者名/発表者名
      Yusuke Kondo, Yudai Miyawaki, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 雑誌名

      J. Phys. D: Appl. Phys.

      巻: 48 号: 4 ページ: 045202-045202

    • DOI

      10.1088/0022-3727/48/4/045202

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Electronic properties of HBr, O2 and Cl2 used in Si etching2015

    • 著者名/発表者名
      Toshio Hayashi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Japan. J. Appl. Phys.

      巻: 54 号: 6S2 ページ: 06GA03-06GA03

    • DOI

      10.7567/jjap.54.06ga03

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] EPR-spin trapping and flow cytometric studies of free radicals generated using cold atmospheric argon plasma and X-ray irradiation in aqueous solutions and intracellular milieu2015

    • 著者名/発表者名
      Uchiyama H , Zhao Q-L, Hassan M.A., Andocs G, Nojima N, Takeda K, Ishikawa K, Hori M and Kondo T
    • 雑誌名

      PLoS One

      巻: 10 (8) 号: 8 ページ: e0136956-e0136956

    • DOI

      10.1371/journal.pone.0136956

    • 査読あり / 謝辞記載あり / オープンアクセス / 国際共著/国際学会である
    • データソース
      KAKENHI-PUBLICLY-15H00892, KAKENHI-PLANNED-24108002
  • [雑誌論文] Suppression of plasma-induced damage on GaN etched by a Cl2 plasma at high temperatures2015

    • 著者名/発表者名
      Zecheng Liu, Jialin Pan, Takashi Kako Kenji Ishikawa, Osamu Oda, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Japan. J. Appl. Phys.

      巻: 54 号: 6S2 ページ: 06GB04-06GB04

    • DOI

      10.7567/jjap.54.06gb04

    • NAID

      210000145318

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Feedback Control System of Wafer Temperature for Advanced Plasma Processing and its Application to Organic Film Etching2015

    • 著者名/発表者名
      Takayoshi Tsutsumi, Yusuke Fukunaga, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Takayuki Ohta, Masafumi Ito, Makoto Sekine, and Masaru Hori
    • 雑誌名

      IEEE Trans Semiconductor manufacturing

      巻: 28 号: 4 ページ: 515-520

    • DOI

      10.1109/tsm.2015.2470554

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] CF3+ fragmentation by electron impact ionization of perfluoro-propyl-vinyl-ethers, C5F10O, in gas phase2015

    • 著者名/発表者名
      Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Japan. J. Appl. Phys.

      巻: 54 号: 4 ページ: 040301-040301

    • DOI

      10.7567/jjap.54.040301

    • NAID

      210000144897

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Silicon nitride (SiN) etch performance of CH2F2 plasmas diluted with argon or krypton2015

    • 著者名/発表者名
      Yusuke Kondo, Kenji Ishikawa, Toshio Hayashi, Yudai Miyawaki, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Japan. J. Appl. Phys.

      巻: 54 号: 4 ページ: 040303-040303

    • DOI

      10.7567/jjap.54.040303

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Nanostructure modification to carbon nanowall surface employing hydrogen peroxide solution2014

    • 著者名/発表者名
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Jpn. J. Appl. Phys.

      巻: 53 号: 4 ページ: 040305-040305

    • DOI

      10.7567/jjap.53.040305

    • NAID

      210000143507

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [雑誌論文] Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals2014

    • 著者名/発表者名
      H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • 雑誌名

      Japanese Journal of Applied Physics

      巻: 53 号: 1 ページ: 10209-10209

    • DOI

      10.7567/jjap.53.010209

    • 査読あり
    • データソース
      KAKENHI-PLANNED-21110006, KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24654191
  • [雑誌論文] Plasma Medical Science for Cancer Therapy: Toward Cancer Therapy Using Nonthermal Atmospheric Pressure Plasma2014

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinnya Toyokuni,Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori
    • 雑誌名

      IEEE TRANSACTIONS ON PLASMA SCIENCE

      巻: 42 号: 12 ページ: 3760-3764

    • DOI

      10.1109/tps.2014.2353659

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-ORGANIZER-24108001, KAKENHI-PROJECT-25860292, KAKENHI-PROJECT-25870307
  • [雑誌論文] Spatiotemporal behaviors of absolute density of atomic oxygen in a planar type of Ar/O2 non-equilibrium atmospheric pressure plasma jet2014

    • 著者名/発表者名
      Fengdong Jia, Kenji Ishikawa, Keigo Takeda, Hiroyuki Kano, Jagath Kularatne, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • 雑誌名

      Plasma Source Sci. Technol

      巻: 23 号: 2 ページ: 025004-025004

    • DOI

      10.1088/0963-0252/23/2/025004

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells.2014

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori
    • 雑誌名

      Plasma Medicine

      巻: 2 号: 4 ページ: 55-68

    • DOI

      10.1615/plasmamed.2013008267

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-25870307
  • [雑誌論文] Cell survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells2014

    • 著者名/発表者名
      Tanaka H., Mizuno M., Ishikawa K., Nakamura K., Utsumi F., Kajiyama H., Kano H., Maruyama S., Kikkawa F., and Hori M.
    • 雑誌名

      Plasma Medicine

      巻: 2 ページ: 55-68

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25870307
  • [雑誌論文] Effects of nitrogen plasma post-treatment on electrical conduction of carbon nanowalls2014

    • 著者名/発表者名
      Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • 雑誌名

      Jpn. J. Appl. Phys.

      巻: 53 号: 4 ページ: 040307-040307

    • DOI

      10.7567/jjap.53.040307

    • NAID

      210000143509

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [雑誌論文] Hierarchical regrowth of flowerlike nanographene sheets on oxygen-plasma-treated carbon nanowalls2014

    • 著者名/発表者名
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Appl. Phys. Express

      巻: 7 号: 4 ページ: 046201-046201

    • DOI

      10.7567/apex.7.046201

    • NAID

      210000137068

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [雑誌論文] Selective cytotoxicity of indirect nonequilibrium atmospheric pressure plasma against ovarian clear-cell carcinoma2014

    • 著者名/発表者名
      F. Utsumi, H. Kajiyama, K. Nakamura, H. Tanaka, M. Hori, and F. Kikkawa
    • 雑誌名

      Springerplus

      巻: 3 号: 1 ページ: 398-398

    • DOI

      10.1186/2193-1801-3-398

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-ORGANIZER-24108001, KAKENHI-PROJECT-25293341, KAKENHI-PROJECT-25870307
  • [雑誌論文] Chemical reactions during plasma-enhanced atomic layer deposition of SiO2 films employing aminosilane and O2/Ar plasma at 50°C2014

    • 著者名/発表者名
      Yi Lu, Akiko Kobayashi, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Jpn. J. Appl. Phys.

      巻: 53 号: 1 ページ: 010305-010305

    • DOI

      10.7567/jjap.53.010305

    • NAID

      210000143231

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Quantitative clarification of inactivation mechanism of Penicillium digitatum spores treated with neutral oxygen radicals2014

    • 著者名/発表者名
      Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • 雑誌名

      Jpn. J. Appl. Phys.

      巻: 54 号: 1S ページ: 01AG05-01AG05

    • DOI

      10.7567/jjap.54.01ag05

    • 査読あり / オープンアクセス
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-26286071, KAKENHI-PROJECT-26286072
  • [雑誌論文] Effect of gas flow on transport of O (3Pj) atoms produced in ac power excited non-equilibrium atmospheric-pressure O2/Ar plasma jet2013

    • 著者名/発表者名
      K. Takeda, M. Kato, F. Jia, K. Ishikawa, H. Kano, M. Sekine, and M. Hori
    • 雑誌名

      J. Phys. D: Appl. Phys.

      巻: 46 号: 46 ページ: 464006-464006

    • DOI

      10.1088/0022-3727/46/46/464006

    • 査読あり
    • データソース
      KAKENHI-PLANNED-21110006, KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002
  • [雑誌論文] A novel fast and flexible technique of radical kinetic behaviour investigation based on pallet for plasma evaluation structure and numerical analysis2013

    • 著者名/発表者名
      Arkadiusz Malinowski, Takuya Takeuchi, Shang Chen, Toshiya Suzuki, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Lidia Lukasiak, and Andrzej Jakubowski
    • 雑誌名

      J. Phys. D: Appl. Phys.

      巻: 46 号: 26 ページ: 265201-265201

    • DOI

      10.1088/0022-3727/46/26/265201

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-25286080
  • [雑誌論文] Atomic Oxygen Etching from the Top Edges of Carbon Nanowalls2013

    • 著者名/発表者名
      Hironao Shimoeda, Hiroki Kondo, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • 雑誌名

      Appl. Phys. Express

      巻: 6 号: 9 ページ: 095201-095201

    • DOI

      10.7567/apex.6.095201

    • NAID

      10031199873

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [雑誌論文] High H Radical Density Produced by 1-m-length Atmospheric Pressure Microwave Plasma System2013

    • 著者名/発表者名
      Hitoshi Itoh, Yusuke Kubota, Yusaku Kashiwagi, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Hirotaka Toyoda, and Masaru Hori
    • 雑誌名

      Jpn. J. Appl. Phys.

      巻: 52 号: 11S ページ: 11NE01-11NE01

    • DOI

      10.7567/jjap.52.11ne01

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Supercritical Fluid Deposition of High-Density Nanoparticles of Photo-Catalytic TiO2 on Carbon Nanowalls2013

    • 著者名/発表者名
      Takeyoshi Horibe, Hiroki Kondo, Kenji Ishikawa, Hiroyuki Kano, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • 雑誌名

      Appl. Phys. Express

      巻: 6 号: 4 ページ: 045103-045103

    • DOI

      10.7567/apex.6.045103

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Density Control of Carbon Nanowalls Grown by CH4/H2 plasma and Their Electrical Properties2013

    • 著者名/発表者名
      Hyung Jun Cho, Hiroki Kondo, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • 雑誌名

      Carbon

      巻: 68 ページ: 380-388

    • DOI

      10.1016/j.carbon.2013.11.014

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24360015
  • [雑誌論文] Inactivation effects of neutral reactive-oxygen species on Penicillium digitatum spores using non-equilibrium atmospheric-pressure oxygen radical source2013

    • 著者名/発表者名
      H. Hashizume, T. Ohta, J. Fengdong, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • 雑誌名

      Applied Physics Letters

      巻: 103 号: 15 ページ: 153708-153708

    • DOI

      10.1063/1.4824892

    • 査読あり
    • データソース
      KAKENHI-PLANNED-21110006, KAKENHI-PLANNED-24108002, KAKENHI-PROJECT-24654191
  • [雑誌論文] Surface roughness development on ArF-photoresist studied by beam-irradiation of CF4 plasma2013

    • 著者名/発表者名
      Takuya Takeuchi, Kenji Ishikawa, Yuichi Setsuhara, Hiroki Kondo, Keigo Takeda, Makoto Sekine, Masaru Hori
    • 雑誌名

      J. Phys. D: Appl. Phys.

      巻: 46 号: 10 ページ: 102001-102001

    • DOI

      10.1088/0022-3727/46/10/102001

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Rapid measurement of substrate temperatures by frequency-domain low-coherence interferometry2013

    • 著者名/発表者名
      Takayoshi Tsutsumi, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori, and Masafumi Ito
    • 雑誌名

      Appl. Phys. Lett.

      巻: 103 号: 18

    • DOI

      10.1063/1.4827426

    • 査読あり
    • データソース
      KAKENHI-PLANNED-24108002
  • [雑誌論文] Development of the sputtering yields of ArF photoresist after the onset of argon ion bombardment2013

    • 著者名/発表者名
      Takuya Takeuchi, Carles Corbella, Simon Grosse-Kreul, Achim von Keudell, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Makoto Sekine, and Masaru Hori
    • 雑誌名

      J. Appl. Phys.

      巻: 103 号: 1 ページ: 14306-14306

    • DOI

      10.1063/1.4772996

    • 査読あり
    • データソース
      KAKENHI-PROJECT-25286080
  • [雑誌論文] Effect of Indirect Nonequilibrium Atmospheric Pressure Plasma on Anti-Proliferative Activity against Chronic Chemo-Resistant Ovarian Cancer Cells In Vitro and In Vivo2013

    • 著者名/発表者名
      Fumi Utsumi, Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroyuki Kano, Masaru Hori, and Fumitaka Kikkawa
    • 雑誌名

      PLoS ONE

      巻: 8 号: 12 ページ: e81576-e81576

    • DOI

      10.1371/journal.pone.0081576

    • 査読あり
    • データソース
      KAKENHI-ORGANIZER-24108001, KAKENHI-PLANNED-24108002, KAKENHI-PLANNED-24108008, KAKENHI-PROJECT-24390380, KAKENHI-PROJECT-25670701, KAKENHI-PROJECT-25870307
  • [雑誌論文] Real-time In Situ Electron Spin Resonance Measurements on Fungal Spores of Penicillium digitatum during Exposure of Oxygen Plasmas2012

    • 著者名/発表者名
      Kenji Ishikawa, et al.
    • 雑誌名

      Appl. Phys. Lett

      巻: 101 (1) 号: 1 ページ: 13704-13704

    • DOI

      10.1063/1.4733387

    • 査読あり
    • データソース
      KAKENHI-PROJECT-24654191, KAKENHI-PLANNED-21110006
  • [雑誌論文] Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas2012

    • 著者名/発表者名
      Kenji Ishikawa, Hiroko Moriyama, Kazuhiro Tamiya, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Sachiko Iseki, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • 雑誌名

      MRS Online Proceedings Library

      巻: 1469

    • DOI

      10.1557/opl.2012.928

    • 査読あり
    • データソース
      KAKENHI-PROJECT-24654191, KAKENHI-PLANNED-21110006
  • [産業財産権] 幹細胞様細胞の調製方法2016

    • 発明者名
      石川健治
    • 権利者名
      石川健治
    • 産業財産権種類
      特許
    • 出願年月日
      2016-09-30
    • データソース
      KAKENHI-PLANNED-24108002
  • [産業財産権] シュウ酸の製造方法2016

    • 発明者名
      石川 健治、堀 勝、倉家 尚之、田中 宏昌
    • 権利者名
      石川 健治、堀 勝、倉家 尚之、田中 宏昌
    • 産業財産権種類
      特許
    • 出願年月日
      2016-10-08
    • データソース
      KAKENHI-PROJECT-15H05430
  • [産業財産権] 細胞捕捉フィルター2016

    • 発明者名
      小野島大介、石川健治
    • 権利者名
      小野島大介、石川健治
    • 産業財産権種類
      特許
    • 出願年月日
      2016-09-23
    • 外国
    • データソース
      KAKENHI-PLANNED-24108002
  • [産業財産権] シュウ酸の製造方法2016

    • 発明者名
      石川 健治、堀 勝、倉家 尚之、田中 宏昌
    • 権利者名
      石川 健治、堀 勝、倉家 尚之、田中 宏昌
    • 産業財産権種類
      特許
    • 出願年月日
      2016-10-08
    • データソース
      KAKENHI-PROJECT-15K13390
  • [産業財産権] シュウ酸の製造方法2016

    • 発明者名
      石川健治
    • 権利者名
      石川健治
    • 産業財産権種類
      特許
    • 出願年月日
      2016-10-08
    • データソース
      KAKENHI-PLANNED-24108002
  • [産業財産権] 細胞捕捉チップ、その製造方法および細胞捕捉方法2016

    • 発明者名
      小野島大介、石川健治
    • 権利者名
      小野島大介、石川健治
    • 産業財産権種類
      特許
    • 出願年月日
      2016-09-02
    • データソース
      KAKENHI-PLANNED-24108002
  • [産業財産権] プラズマ照射培養液による殺菌方法2015

    • 発明者名
      堀勝、石川健治、橋爪博司、伊藤昌文、太田貴之
    • 権利者名
      名古屋大学、名城大学
    • 産業財産権種類
      特許
    • 産業財産権番号
      2015-029974
    • 出願年月日
      2015-02-18
    • データソース
      KAKENHI-PLANNED-24108002
  • [産業財産権] 滅菌表示装置および滅菌装置および青果物表皮の殺菌方法2012

    • 発明者名
      石川健治、堀勝、伊藤昌文、太田貴之、橋爪博司
    • 権利者名
      名古屋大学、名城大学
    • 産業財産権種類
      特許
    • 出願年月日
      2012-02-23
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Atomic layer etching of platinum with sequential exposure to high -density oxygen/argon plasma and formic acid vapor at low temperature2024

    • 著者名/発表者名
      Thi-Thuy-Nga Nguyen, Daijiro Akagi, Toshiyuki Uno, Takeshi Okato, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      ISPlasma2024/IC-PLANTS2024/APSPT-13, 2024/3/3-3/7, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Transient behavior of cycle process in Ar plasma with alternately injected C4F8 and SF62023

    • 著者名/発表者名
      Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • 学会等名
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Transient behavior of cycle process in Ar plasma with alternately injected C4F8 and SF62023

    • 著者名/発表者名
      Taito Yoshie, Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • 学会等名
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023), 2023/11/5-8, Busan, Korea
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Chemically Active Compounds Formed in Low-temperature Plasma Treated Liquids for Cancer Treatment2023

    • 著者名/発表者名
      Camelia Miron, Hiromasa Tanaka, Taishi Yamakawa, Du Lyin, Hiroki Kondo, Hiroshi Hashizume, Takashi Kondo, Kenji Ishikawa, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
    • 学会等名
      Global Plasma Forum in Aomori
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Hydrofluorocarbon Molecule Dissociation through Photoeoctron-Photoion Coincidence (PEPICO) Studies2023

    • 著者名/発表者名
      Tran Trung Nguyen, Toshio Hayashi, Hiroshi Iwayama, Shih-Nan Hsiao, Makoto Sekine, Masaru Hori and Kenji Ishikawa,
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Frontiers of Plasma Etching Technology for Advanced Semiconductor Devices2023

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      International Conference on Phenomena in Ionized Gases ICPIG XXXV , 2023/7/10-14, the Netherland
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Dissociative properties of C4F6 obtained using computational chemistry2023

    • 著者名/発表者名
      T. Hayashi, K. Ishikawa, M. Sekine, and M. Hori
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Low temperature plasma chemistry of aqueous solutions of amino acids. A spin trapping study2023

    • 著者名/発表者名
      Takashi Kondo, Hiroshi Hashizume, Jun Kumagai, Hiromasa Tanaka, Kenji Ishikawa, Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Electron-Beam-Assisted Self-limiting fluorination of GaN surface using XeF2 for Atomic Layer Etching2023

    • 著者名/発表者名
      Y. Izumi, T. Tsutsumi, H Kondo, M. Sekine, M. Hori, and K. Ishikawa
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Cellular Response to Electrical Stimulation on Carbon Nanowalls Coated with Silicon Carbide2023

    • 著者名/発表者名
      Koki Ono, Hiroki Kondo, Kenji Ishikawa, Wakana Takeuchi, Kenichi Uehara, Shigeo Yasuhara, Hiromasa Tanaka and Masaru Hori
    • 学会等名
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Etching Selectivities of SiO2 and SiN Against a-C Films Using CF4/H2 with a Pseudo-Wet Plasma Etching Mechanism2023

    • 著者名/発表者名
      Yusuke Imai, S. Hsiao, M. Sekine, T. Tsutsumi, K. Ishikawa, M. Iwata, M. Tamura, Y. Iijima, T. Gohira, K. Matsushima, Y. Ohya, M. Hori,
    • 学会等名
      AVS 69th International Symposium and Exhibition (AVS 69), 2023/11/5-10, Portland, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] On the mechanism of high-speed SiO2 etching using hydrogen fluoride-contained plasmas at cryogenic temperature2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Makoto Sekine, Nikolay Britun, Michael Kin-Ting Mo, Yusuke Imai, Takayoshi Tsusumi, Kenji Ishikawa, Yuki Iijima, Masahiko Yokoi, Ryutaro Suda, Yoshihide Kihara and Masaru Hori
    • 学会等名
      Global Plasma Forum in Aomori
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Plasma etching technology: Next milestone of assurance energy and environment2023

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      ADMETA Plus 2023
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Investigation of anti-tumor effect mechanism by plasma irradiated L-Arginine solution2023

    • 著者名/発表者名
      Liyin Du, Carmelia Miron, Saitoshi Kashiwagura, Takashi Kondo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] A pseudo-wet plasma etching mechanism for SiO2 at cryogenic temperature using hydrogen fluoride gas with in-situ surface monitoring2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Makoto Sekine, Yuki Iijima, Ryutaro Suda, Yoshinobu Ohya, Yoshihide Kihara, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori
    • 学会等名
      AVS 69th International Symposium and Exhibition (AVS 69), 2023/11/5-10, Portland, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Effects of non-equilibrium atmospheric pressure plasma on zebrafish2023

    • 著者名/発表者名
      Yuya Kurebayashi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shinichi Akiyama, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Unravelling Dissociation of Hydrofluorocarbon Molecules through Photoelectron-Photoion Coincidence (PEPICO) Studies2023

    • 著者名/発表者名
      Tran Trung Nguyen, Kenji Ishikawa, Toshio Hayashi, Hiroshi Iwayama, Shih-Nan Hsiao, Makoto Sekine, and Masaru Hori
    • 学会等名
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023), 2023/11/5-8, Busan, Korea
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] 低温プラズマのバイオ応用~プラズマ照射効果~2023

    • 著者名/発表者名
      石川健治
    • 学会等名
      第66回放射線化学研究会
    • 招待講演
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Morphological control of human mesenchymal stem cells with electrical stimulation on carbon nanowalls2023

    • 著者名/発表者名
      Yuki Kojima, Hiroki Kondo, Masahiro Tanaka, Kenji Ishikawa, Hiroshi Hashizume, Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Plasma-based pseudo-wet mechanism for cryogenic SiO2 etching using hydrogen-contained fluorocarbon gases with an in-situ surface analysis2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Makoto Sekine, Takayoshi Tsutsumi, Kenji Ishikawa, Manabu Iwata, Maju Tomura, Yuki Iijima, Taku Gohira, Keiichi Matsushima, Yoshinobu Ohya, Masaru Hori
    • 学会等名
      The 76th Annual Gaseous Electronics Conference (GEC76), 2023/10/9-13, Michigan, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Etch selectivities of SiO2 and SiN against a-C films using CF4/H2 plasma at low temperature2023

    • 著者名/発表者名
      Y. Imai, S-N. Hsiao, M. Sekine, K. Ishikawa, T. Tsutsumi, M. Iwata, M. Tomura,Y. Iijima, K. Matsushima and M. Hori
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Surface reactions during atomic layer etching of platinum by high-density nitrogen-oxygen plasma and organic acid vapor2023

    • 著者名/発表者名
      Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      AAPPS-DPP2023, 2023/11/12-17, Nagoya, Japan
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma-driven science for emerging plasma-processing technologies2023

    • 著者名/発表者名
      Kenji Ishikawa, Hiromasa Tanaka, Hirokazu Hara, Shin-ichi Kondo, Masafumi Ito, Kazunori Koga, Masaharu Shiratani, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Surface reactions during atomic layer etching of platinum by high-density nitrogen-oxygen plasma and organic acid vapor2023

    • 著者名/発表者名
      Thi-Thuy-Nga Nguyen, Daijiro Akagi, Toshiyuki Uno, Takeshi Okato, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      AVS 69th International Symposium and Exhibition (AVS 69), 2023/11/5-10, Portland, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Characterization of plasmas and polymerized hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas2023

    • 著者名/発表者名
      Yusuke Imai, Shih-Nan Hsiao, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Positive and negative ion behaviors in DC-imposed Ar/SF6 pulsed plasma2023

    • 著者名/発表者名
      Kazuki Toji, Takayoshi Tsutsumi, Kenji Ishikawa, S-N. Hsaio, Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Global and local contribution analysis of process parameters in Plasma enhanced chemical vapor deposition of amorphous carbon har2023

    • 著者名/発表者名
      Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 4th International Conference on Data Driven Plasma Sciences ( ICDDPS-4 ), 2023/4/16-21, Okinawa, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Compositions of Ions Related with Electrode Materials in Pulsed Plasma for High-Aspect-Ratio Hole Etching2023

    • 著者名/発表者名
      K. Toji, T. Tsutsumi, S-N. Hsiao, M. Sekine, M. Hori, and K. Ishikawa
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Frontiers of Plasma Etching Technology for Advanced Semiconductor Devices2023

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      35th International Conference on Phenomena in Ionized Gases (ICPIG), the Neitherland
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Synthesis and characteristics of carbon nanowalls using two-step growth combining different plasma chemical vapor deposition methods2023

    • 著者名/発表者名
      Ngo Quang Minh, Ngo Van Nong, Osamu Oda, Masaru Hori and Kenji Ishikawa
    • 学会等名
      Global Plasma Forum in Aomori
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] A comparative study on the CF4/H2 and HF/H2 plasmas for etching of highly hydrogenated SiN films2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Nikolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      25th International Symposium on Plasma Chemistry (ISPC25), 2023/5/21-26, Kyoto, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Analysis of Effect of Neutral-Oxygen-Radical Irradiation on Biodegradation of Polyethylene Terephthalate using High-Performance Liquid Chromatography2023

    • 著者名/発表者名
      Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Control of generating white core in grains of brewer’s rice cultivar with direct plasma irradiation to spikelet after flowering2023

    • 著者名/発表者名
      Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Shih-Nan Hsiao, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yuji Hirosue , Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Selective Cytotoxicity of Plasma-Treated L-Arginine Solution on Human Breast Cancer Cells2023

    • 著者名/発表者名
      Liyin Du, Camelia Miron, Takashi Kondo, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, and Masaru Hori
    • 学会等名
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Study on plasma process using adsorbed C7F14 as an etchant2023

    • 著者名/発表者名
      Kohei Masuda,Makoto Sekine, Kenji Ishikawa, Shih-Nan Hsiao,Takayoshi Tsutsumi,Hiroki Kondo, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Selective removal of graphene by irradiation of remote oxygen plasma2023

    • 著者名/発表者名
      Liugang Hu, Kenji Ishikawa, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Shih-Nan Hsiao, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      Global Plasma Forum in Aomori
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Morphological effect of carbon nanowalls on exosome capture2023

    • 著者名/発表者名
      Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] A pseudo-wet cryogenic plasma etching of SiO2 investigated with in-situ surface monitoring2023

    • 著者名/発表者名
      S-N Hsiao, M. Sekine, K. Ishikawa, T.Tsutsumi, and M. Hori Y Iijima, R. Suda, Y. Kihara
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma Etching Technology Next Milestone of Assurance Energy and Environment2023

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      Advanced Metallization Conference 2023 32nd Asian Session (ADMETA Plus 2023)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Mechanisms of selective killing of cancer cells against normal cells by plasma-activated Ringer’s lactate solution.2023

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, and Masaru Hori
    • 学会等名
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Etching Selectivities of SiO2 and SiN Against a-C Films Using CF4/H2 with a Pseudo-Wet Plasma Etching Mechanism2023

    • 著者名/発表者名
      Yusuke Imai, S. Hsiao, M. Sekine, T. Tsutsumi, K. Ishikawa, M. Iwata, M. Tamura, Y. Iijima, T. Gohira, K. Matsushima, Y. Ohya, M. Hori,
    • 学会等名
      AVS 69th International Symposium and Exhibition (AVS 69), Portland, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Effects of Plasma Treated Water on Papaya Seed Germination2023

    • 著者名/発表者名
      Deng-Ke Xi, Xian-Hui Zhang, Si-Ze Yang, Seong Shan Yap, Kenji Ishikawa, Masaru Hori and Seong Ling Yap
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Control of etching profile by bias supply timing in cyclic process using C4F8/SF6 gas modulated plasma2023

    • 著者名/発表者名
      T. Yoshie, K. Ishikawa, T. Tsutsumi, M. Sekine, and M. Hori
    • 学会等名
      The 44th International Symposium on Dry Process (DPS2023), 2023/11/21-22, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Unravelling Dissociation of Hydrofluorocarbon Molecules through Photoelectron Photoion Coincidence (PEPICO) Studies2023

    • 著者名/発表者名
      Tran Trung Nguyen, Kenji Ishikawa, Toshio Hayashi, Hiroshi Iwayama, Shih-Nan Hsiao, Makoto Sekine, and Masaru Hori
    • 学会等名
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Self-limited fluorination of electron-beam-irradiated GaN surface2023

    • 著者名/発表者名
      Yusuke Izumi, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma-activated solutions invigorate anti-tumor immune response in the intraperitoneal environments of ovarian cancer2023

    • 著者名/発表者名
      Kae Nakamura, Nobuhisa Yoshikawa, Hiromasa Tanaka, Kenji Ishikawa, Masaaki Mizuno, Shinya Toyokuni, Masaru Hori and Hiroaki Kajiyama
    • 学会等名
      Global Plasma Forum in Aomori
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] On the mechanism of high-speed SiO2 etching using hydrogen fluoride-contained plasmas at cryogenic temperature2023

    • 著者名/発表者名
      Shih-Nan Hsiao, Makoto Sekine, Nikolay Britun, Michael Kin-Ting Mo, Yusuke Imai, Takayoshi Tsusumi, Kenji Ishikawa, Yuki Iijima, Masahiko Yokoi, Ryutaro Suda, Yoshihide Kihara and Masaru Hori
    • 学会等名
      Global Plasma Forum in Aomori , 2023/10/15-18, Aomori, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma-driven science for emerging plasma-processing technologies2023

    • 著者名/発表者名
      Kenji Ishikawa, Hiromasa Tanaka, Hirokazu Hara, Shin-ichi Kondo, Masafumi Ito, Kazunori Koga, Masaharu Shiratani, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Etching silicon oxide, silicon nitride, and polysilicon films in CH2FCHF2 hydrofluorocarbon plasma2023

    • 著者名/発表者名
      Trung-Nguyen Tran,Thi-Thuy-Nga Nguyen,Kenji Ishikawa, Shih-Nan Hsiao,Toshio Hayashi,Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma treatments in rice paddy fields: Impacts on yield and quality2023

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      第40回バイオテクノロジー
    • 招待講演
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Low temperature plasma life innovations: Functional reaction networks of radical chemistry2023

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      IAEA Technical Meeting on Emerging Applications of Plasma Science and Technology, Vienna
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Evaluation of Autophagy-Inducing Substances in PAL2023

    • 著者名/発表者名
      Taishi Yamakawa,Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni, Hiroaki Kajiyama, Masaru Hori, Kenji Ishikawa and Hiromasa Tanaka
    • 学会等名
      13th Asian-European International Conference on Plasma Surface Engineering (AEPSE 2023)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Non-Halogen Plasma for Selective Removal of Titanium Compounds Applied in Advanced Atomic Layer Etching2023

    • 著者名/発表者名
      Thi-Thuy-Nga Nguyen, K. Shinoda, S. Hsiao, H. Hamamura, Maeda, K. Yokogawa, M. Izawa, K. Ishikawa, M. Hori
    • 学会等名
      23rd International Conference on Atomic Layer Deposition, 10th International Atomic Layer Etching Workshop (ALD/ALE2023), 2023/7/23-26, Washington, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Non-halogen plasma etching of metal gate TiAlC2023

    • 著者名/発表者名
      Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Shih-Nan Hsiao, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Low-temperature plasma as a ferroptosis inducer in cancer cells2023

    • 著者名/発表者名
      Shinya Toyokuni, Hao Zheng, Yasumasa Okazaki, Kae Nakamura, Hiroaki Kajiyama, Hiromasa Tanaka, Keiji Ishikawa and Masaru Hori
    • 学会等名
      Global Plasma Forum in Aomori
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Contribution analysis of process parameters in plasma-enhanced chemical vapor deposition of amorphous carbon2023

    • 著者名/発表者名
      Yusuke Ando, Jumpei Kurokawa, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori
    • 学会等名
      ISPlasma2023 / IC-PLANTS2023
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma medicine and plasma bio related phenomena2022

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      2022 MRS Spring Meeting & Exhibit
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] C3H6/H2プラズマを用いた水素化アモルファスカーボン成膜における成膜前駆体と膜特性の相関関係2022

    • 著者名/発表者名
      黒川 純平、光成 正、近藤 博基、堤 隆嘉、関根 誠、石川 健治、堀 勝
    • 学会等名
      第69回応用物理学会春季学術講演会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Ion Induced Damage in Plasma Enhanced Atomic Layer Etching Processing2022

    • 著者名/発表者名
      Takayoshi TSUTSUMI, Hiroki KONDO, Kenji ISHIKAWA, Makoto SEKINE, Masaru HORI
    • 学会等名
      32nd Anuual Meeting on Material Researh Society of Japan (MRS-J)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Time-resolved measurement of ion energy distribution in pulsed Ar/SF6 plasma2022

    • 著者名/発表者名
      Kazuki TOJI, Kenji ISHIKAWA, Takayoshi TSUTSUMI, Shih nan HSIAO, Makoto SEKINE, Masaru HORI
    • 学会等名
      32nd Anuual Meeting on Material Researh Society of Japan (MRS-J)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Synthesis, Functionalization, and Three-Dimensional Structuring of Carbon Nanomaterials By Gas-Liquid Interface Plasma2022

    • 著者名/発表者名
      H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori
    • 学会等名
      242nd ECS Meeting
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Investigation of anti-tumor effect mechanism by plasma treated L-Arginine solutions2022

    • 著者名/発表者名
      Liyin DU, Camelia MIRON, Satoshi KASHIWAGURA, Takashi KONDO, Hiromasa TANAKA, Kenji ISHIKAWA, Masaaki MIZUNO, Shinya TOYOKUNI, Hiroaki KAJIYAMA, Masaru HORI
    • 学会等名
      32nd Annual Symposia of Material Research Society of Japan (MRS-J)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Highly efficient exosome capture by carbon nanowalls template2022

    • 著者名/発表者名
      Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui,Yoshinobu Baba, Mineo Hiramatsu, Masaru Hori
    • 学会等名
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Ar/F2プラズマとBCl3の交互供給によるAlGaN原子層エッチングでの組成比制御2022

    • 著者名/発表者名
      中村 昭平、谷出 敦、木村 貴弘、灘原 壮一、石川 健治、小田 修、堀 勝
    • 学会等名
      第69回応用物理学会春季学術講演会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Surface Modification for Atomic Layer Etching of TiAlC Using Floating Wire-Assisted Liquid Vapor Plasma at Medium Pressure2022

    • 著者名/発表者名
      Thi-Thuy-Nga Nguyen, Kazunori Shinoda, H. Hamamura, Kenji Maeda, K. Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      22nd Atomic layer deposition and 9th atomic layer etching workshop (ALD/ALE Workshop)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Langmuir probe and Laser Photodetachment Study of Afterglow Phase in Dual RF Frequency Pulsed Plasma Etching Processes Operated with Synchronized DC Bias2022

    • 著者名/発表者名
      Makoto Sekine, Bibhuti B Sahu, Shogo Hattori, Takayoshi Tsutsumi, Nikolay Britun, Kenji Ishikawa, Hirohiko Tanaka, Taku Gohira, Noriyasu Ohno, and Masaru Hori
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Interfacial reaction of gas-liquid plasma in the synthesis of functional carbon nanomaterials2022

    • 著者名/発表者名
      Hiroki KONDO, Takayoshi TSUTSUMI, Kenji ISHIKAWA, Masaru HORI
    • 学会等名
      5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials(IUMRS-ICYRAM2022)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Molecular mechanisms of cell death by plasma-activated solutions in glioblastoma cells2022

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Camelia Miron, Hiroaki Kajiyama, Shinya Toyokuni, Masaru Hori
    • 学会等名
      9th International Conference on Plasma Medicine (ICPM9)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Nanoscale Dry Processes for Controlling Atomic Layer Reactions and Fabrication of High-Aspect-Ratio Features2022

    • 著者名/発表者名
      Kenji Ishikawa, Thi-Thuy-Nga Nguye, Takayoshi Tsutsumi, S-N Hsaio, Makoto Sekine, and Masaru Hori
    • 学会等名
      Korean International Semiconductor Conference on Manufacturing Technology 2022 (KISM 2022)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Deposition mechanism of hydrogenated amorphous carbon film by C3H6/H2 mixture gas plasma2022

    • 著者名/発表者名
      Hiroki Kondo, Jumpei Kurokawa, Takayoshi Tsutsumi, Makoto Sekine, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Interfacial reaction of gas-liquid plasma in the synthesis of functional carbon nanomaterials2022

    • 著者名/発表者名
      Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      5th International Union of Material Research Societies, International Conference of Young Researchers on Advanced Materials (IUMRS-ICYRAM 2022)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Highly efficient exosome capture by carbon nanowalls template2022

    • 著者名/発表者名
      Takumi Hashimoto, Hiroki Kondo, Hiromasa Tanaka, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Takao Yasui, Yoshinobu Baba, Mineo Hiramatsu, and Masaru Hori
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] In situ monitoring hydrogen fluoride molecular density and its effects on etch selectivity of SiN over SiO2 films with hydrogen-contained fluorocarbon down-flow plasmas2022

    • 著者名/発表者名
      Shih-Nan Hsiao, Nicolay Britun, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      22ND INTERNATIONAL VACUUM CONGRESS IVC-22
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma diagnostics and characteristics of hydrofluorocarbon films in capacitively coupled CF4/H2 plasmas2022

    • 著者名/発表者名
      Shih-Nan Hsiao, Yusuke Imai, Nicolay Britun, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • 学会等名
      International Symposium on Semiconductor Manufacturing (ISSM 2022)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Biological effects of the combination with low temperature plasmas and nanoparticles-platinum and gold-Plasma Medical & Agricultural Application2022

    • 著者名/発表者名
      Kenji Ishikawa, Takashi Kondo, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni, Masaaki Mizuno
    • 学会等名
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Effectiveness of Plasma Treatment for Various Rice Cultivation2022

    • 著者名/発表者名
      Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori
    • 学会等名
      9th International Conference on Plasma Medicine (ICPM9)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Machine learning and contribution analysis of radicals to the properties of hydrogenated amorphous carbon films grown by a plasma-enhanced chemical vapor deposition2022

    • 著者名/発表者名
      Hiroki Kondo, Jumpei Kurokawa, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, and Masaru Hori
    • 学会等名
      22ND INTERNATIONAL VACUUM CONGRESS IVC-22
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] 高アスペクトホールエッチングにおけるストライエーションの形成メカニズム2022

    • 著者名/発表者名
      大村 光広、橋本 惇一、足立 昂拓、近藤 祐介、石川 勝朗、阿部 淳子、酒井 伊都子、林 久貴、関根 誠、堀 勝
    • 学会等名
      第69回応用物理学会春季学術講演会
    • 招待講演
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Gene Expression Analysis of Plasma Activated Ringer’s Lactate Solution Treated Cells2022

    • 著者名/発表者名
      Hiromasa Tanaka,Masaaki Mizuno,Kenji Ishikawa,Hiroshi Hashizume,Kae Nakamura,Hiroaki Kajiyama,Fumitaka Kikkawa,Yasumasa Okazaki,Shinya Toyokuni,Masaru Hori
    • 学会等名
      MRS Spring Meetings & Exhibits
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Selective Dry Etching of TiAlC over TiN using N2/H2 Plasma Chemistry2022

    • 著者名/発表者名
      Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      AVS 68th International Symposium & Exhibition
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] C2F6/H2混合ガスを用いてラジカル注入型プラズマ励起化学気相堆積法により成長させたカーボンナノウォールのモフォロジー制御2022

    • 著者名/発表者名
      橋本 拓海、近藤 博基、石川 健治、堤 隆嘉、関根 誠、平松 美根男、堀 勝
    • 学会等名
      The 39th Symposium on plasma processing / 34th Symposium on Plasma Science for Materials (SPP39/SPSM34)
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] C4F8/SF6ガス変調サイクルにおいてバイアス印加位相がエッチング形状に及ぼす影響2022

    • 著者名/発表者名
      吉江 泰斗、堤 隆嘉、石川 健治、近藤 博基、関根 誠、堀 勝
    • 学会等名
      第69回応用物理学会春季学術講演会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Development of an experimental system for cell viability assays of yeasts using gas-temperature controllable plasma jets2022

    • 著者名/発表者名
      Shinji Yoshimura, Yoko Otsubo, Akira Yamashita, Katsuki Johzuka, Takayoshi Tsutsumi, Kenji Ishikawa, Masaru Hori
    • 学会等名
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Impact of Bias Power and Oxygen Addition on Selective Dry Etching of TiAlC over TiN Using N2/H2-based Plasmas2022

    • 著者名/発表者名
      Kenji Ishikawa, Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, and Masaru Hori
    • 学会等名
      AVS 68th International Symposium & Exhibition
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Physicochemical Investigation of Plasma Activated Liquids Organically Engineered by Cold Atmospheric Pressure Plasma for Cancer Treatment2022

    • 著者名/発表者名
      Camelia Miron, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Massaki Mizuno, Masaru Hori
    • 学会等名
      9th International Conference on Plasma Medicine (ICPM9)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Synthesis, Functionalization, and Three-Dimensional Structuring of Carbon Nanomaterials By Gas-Liquid Interface Plasma2022

    • 著者名/発表者名
      H. Kondo, T. Tsutsumi, K. Ishikawa, M. Sekine, and M. Hori
    • 学会等名
      242nd ECS Meeting
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Nanoscale etching technologies for nitrides and carbides2022

    • 著者名/発表者名
      Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      Second Meeting of the NTC Technical Committee on Emerging Plasma Nanotechnologies
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma-based in situ functionalization based on functional nitrogen science2022

    • 著者名/発表者名
      Kenji Ishikawa, Masaru Hori, and Toshiro Kaneko
    • 学会等名
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Biological effects of the combination with low temperature plasmas and nanoparticles-platinum and gold2022

    • 著者名/発表者名
      Takashi Kondo, Kenji Ishikawa, Hiromasa Tanaka, Masaru Hori, Shinya Toyokuni, and Masaaki Mizuno
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Achieving selective etching of SiN and SiO2 over amorphous carbon during CF4/H2 by controlling substrate temperature2022

    • 著者名/発表者名
      Shih-Nan Hsiao, Thi-Thuy-Nga Nguyen, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma-based in situ functionalization based on functional nitrogen science2022

    • 著者名/発表者名
      Kenji Ishikawa, Masaru Hori, and Toshiro Kaneko
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma medicine and plasma bio related phenomena2022

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      MRS Spring Meetings & Exhibits
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Study of etching process using CHF3 gas condensed layer in cryogenic region2022

    • 著者名/発表者名
      Kuangda Sun, Chieh-Ju Liao, Shih-Nan Hsiao, Makoto Sekine, Toshiyuki Sasaki, Chihiro Abe, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      1st International Workshop on Plasma Cryogenic Etching Processes (PlaCEP2022)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] High-Aspect-Ratioエッチングのナノスケール制御の技術進歩2022

    • 著者名/発表者名
      石川健治
    • 学会等名
      プラズマプロセスを”あやつる”~半導体ドライエッチングの最新技術~
    • 招待講演
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] 窒化ガリウムの基板昇温時サイクルエッチング特性2022

    • 著者名/発表者名
      南 吏玖、中村 昭平、谷出 敦、石川 健治、堤 隆嘉、近藤 博基、関根 誠、堀 勝
    • 学会等名
      第69回応用物理学会春季学術講演会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma-Assisted Thermal-Cyclic Etching of Silicon Germanium Selective to Germanium2022

    • 著者名/発表者名
      Kazunori Shinoda, H. Hamamura, Kenji Maeda, Masaru Izawa, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      22nd Atomic layer deposition and 9th atomic layer etching workshop (ALD/ALE Workshop)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma-activated solutions in plasma life science2022

    • 著者名/発表者名
      Hiromasa TANAKA, Masaaki MIZUNO, Kenji ISHIKAWA, Hiroaki KAJIYAMA, Shinya TOYOKUNI, Fumitaka KIKKAWA, Masaru HORI
    • 学会等名
      32nd Annual Symposia of Material Research Society of Japan (MRS-J)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Comprehensive analysis of gene expression in PAL-treated glioblastoma cells2022

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Ayako Tanaka, Yuki Shibata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Camelia Miron, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori
    • 学会等名
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Plasama-activated organics in lactated solution irradiated N2-added plasma2022

    • 著者名/発表者名
      Satoshi KASHIWAGURA, Naoyuki IWATA, Kenji ISHIKAWA, Camelia MIRON, Hiroshi HASHIZUME, Masaru HORI
    • 学会等名
      32nd Annual Symposia of Material Research Society of Japan (MRS-J)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Damage mitigation in atomic layer etching of GaN by cyclic exposure of BCl3 gas and F2 added Ar plasma at high substrate temperature2022

    • 著者名/発表者名
      Shohei Nakamura, Atsushi Tanide, Masafumi Kawagoe, Soichi Nadahara, Kenji Ishikawa, Osamu Oda, and Masaru Hori
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Plasma-assisted thermal-cyclic atomic-layer etching for selective removal of thin films2022

    • 著者名/発表者名
      Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      11th ICRP/ 2022 GEC/ 40th SPP-40/ 35th SPSM35
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] The aqueous plasma therapy for ovarian cancer ~Aiming for controlling disseminated peritoneal metastasis~2022

    • 著者名/発表者名
      Hiroaki Kajiyama, Kae Nakamura, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Shinya Toyokuni, Masaru Hori
    • 学会等名
      9th International Conference on Plasma Medicine (ICPM9)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Novel Hydrogen Generation Study Applying Rebound Tailing Pulse and Wet Electrode Methods2022

    • 著者名/発表者名
      Naohiro Shimizu, Osamu Oda, Ranjit R. Borude, Reiko Tanaka, Kenji Ishikawa, Hiroki Hosoe, Satoshi Ino, Yosuke Inoue, and Masaru Hori
    • 学会等名
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Transient Behaviors of Gaseous and Surface Reactions in a Cycle of Passivation and Etch Steps Using Ar-Based C4F8 and SF6 Plasma2022

    • 著者名/発表者名
      Kenji Ishikawa, Taito Yoshie, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      2022 MRS Spring Meeting & Exhibit
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Effect of reactive nitrogen species mainly nitric oxide on the germination of radish seeds2022

    • 著者名/発表者名
      Pankaj Attri, Takamasa Okumura, Fadzai L. Chawarambwa, Tika E. Putri, Nozomi Takeuchi, Kazunori Koga, Kenji Ishikawa, Kunihiro Kamataki, and Masaharu Shiratani
    • 学会等名
      5th International Union of Materials Research Societies International Conference of Young Researchers on Advanced Materials(IUMRS-ICYRAM2022)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Various approaches of cold plasma treatment to brewer’s rice plant for improvement of grain quality2022

    • 著者名/発表者名
      Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Shih-Nan Hsiao, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Yoji Hirosue, Masayoshi Maeshima, Masaaki Mizuno, Masaru Hori
    • 学会等名
      11th International Conference on Reactive Plasmas/2022 Gaseous Electronics Conference (ICRP-11/GEC2022)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] 原子層エッチングの反応素過程とその設計、制御2021

    • 著者名/発表者名
      石川 健治, Nguyen Thi-Thuy-Nga, 堤 隆嘉, 蕭 世男, 近藤 博基, 関根 誠, 堀 勝
    • 学会等名
      第82回秋季応用物理学会
    • 招待講演
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Development of the nanocarbon coating process on metal surfaces via in-liquid plasma2021

    • 著者名/発表者名
      Ma. Shanlene D.C. Dela Vega, Hiroki Kondo, Takayoshi Tsutsumi, Thi-Thuy-Nga Nguyen, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      20th Interfinish World Congress
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] In-situ analysis of surface reactions for plasma-assisted thermal-cyclic atomic layer etching of tantalum nitride2021

    • 著者名/発表者名
      Kazunori Shinoda, M. Hasegawa, H. Hamamura, K. Maeda, K. Yokogawa, M. Izawa, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      67th AVS International Symposium and Exhibition
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Deformation properties of carbon nanowalls analyzed by nanoindentation2021

    • 著者名/発表者名
      Swapnil Ghodke, Motoyuki Murashima, Dennis Christy, Ngo Van Nong, Osamu Oda, Noritsugu Umehara, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      20th Interfinish World Congress
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] High ionization of analytes for oxygen doped carbon nanowall in surface-assisted laser desorption ionization mass spectrometry (SALDI-MS)2021

    • 著者名/発表者名
      Kenji Ishikawa, Ryusei Sakai, Tomonori Ichikawa, Hiroki Kondo, Takayuki Ohta, Mineo Hiramatsu, and Masaru Hori
    • 学会等名
      20th Interfinish World Congress
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] 広がるプラズマ材料科学:半導体からバイオまで2021

    • 著者名/発表者名
      石川健治
    • 学会等名
      MRM forum 2021
    • 招待講演
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Optical transmission of carbon nanowalls from ultra-violet region to infra-red region2021

    • 著者名/発表者名
      Shintaro Iba, Hiroki Kondo, Kenji Ishikawa, Takayoshi Tsutsumi, Makoto Sekine, Mineo Hiramatsu, and Masaru Hori
    • 学会等名
      Material Research Meeting (MRM 2020)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Production of plasma-activated Ringer's lactate solution with regulated surrounding atmosphere2021

    • 著者名/発表者名
      Daiki Ito, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Kae Nakamura, Camelia Miron, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
    • 学会等名
      31st Material Research Society in Japan (MRS-J)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Growth promotion of cultured feed Artemia irradiated with low-temperature plasma2021

    • 著者名/発表者名
      Takumi Yamauchi, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Shin'ichi Akiyama, and Masaru Hori
    • 学会等名
      31st Material Research Society in Japan (MRS-J)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Anti-tumor effect of plasma-activated solution produced by the flowing system2021

    • 著者名/発表者名
      Satoshi Kashiwagura, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Camelia Miron, Kae Nakamura, Hiromasa Tanaka, Hiroaki Kajiyama, Shinya Toyokuni, Masaaki Mizuno, and Masaru Hori
    • 学会等名
      31st Material Research Society in Japan (MRS-J)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Cell Death Mechanisms by Plasma Activated Medium and Plasma Activated Ringer’s Lactate Solution2021

    • 著者名/発表者名
      Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni, and Fumitaka Kikkawa
    • 学会等名
      8th International Conference on Plasma Medicine (ICPM8)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] C3H6 / H2プラズマを用いたアモルファスカーボン成膜において水素ガス流量比が膜特性に与える影響2021

    • 著者名/発表者名
      黒川 純平, 光成 正, 堤 隆嘉, 近藤 博基, 関根 誠, 石川 健治, 堀 勝
    • 学会等名
      第82回秋季応用物理学会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Functional nitrogen science for plasma-processing in life and matter2021

    • 著者名/発表者名
      Kenji Ishikawa, Toshiro Kaneko, and Masaru Hori
    • 学会等名
      Material Research Meeting (MRM 2020)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Floating wire assisted plasma with vapor injection of liquid mixtures for etching titanium compounds2021

    • 著者名/発表者名
      Thi-Thuy-Nga Nguyen, Kazunori Shinoda, Hirotaka Hamamura, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      67th AVS International Symposium and Exhibition
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Random forest model for property control of plasma deposited hydrogenated amorphous carbon films2021

    • 著者名/発表者名
      Junpei Kurokawa, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      42nd International Symposium on Dry Process (DPS)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Atomic layer etching of GaN using F2-added Ar plasma removal of BCl3 modified layer at high temperature2021

    • 著者名/発表者名
      Shohei Nakamura Atsushi Tanide, Takahiro Kimura, Soichi Nadahara, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      42nd International Symposium on Dry Process (DPS)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] 窒化ガリウムのプラズマエッチング中その場分光エリプソメトリー観測2021

    • 著者名/発表者名
      南 吏玖, 石川 健治, 堤 隆嘉, 近藤 博基, 関根 誠, 小田 修, 堀 勝
    • 学会等名
      第82回秋季応用物理学会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Nanosecond high-voltage pulse imposed chemical vapor deposition (ns HV CVD) of sparsely isolated carbon nanowalls2021

    • 著者名/発表者名
      Tomonori Ichikawa, Kenji Ishikawa, Naohiro Shimizu, and Masaru Hori
    • 学会等名
      20th Interfinish World Congress
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Analysis of Cellular Respiration in Plasma-activated Solutions-treated Cancer Cells2021

    • 著者名/発表者名
      Hiromasa Tanaka, Shogo Maeda, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Yasumasa Okazaki, Shinaya Toyokuni, M. Ito, K. Ohno, Fumitaka Kikkawa, and Masaru Hori
    • 学会等名
      8th International Conference on Plasma Medicine (ICPM8)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Dynamical Changes in Free-radical Signals of Seeds during Water Imbibition and Seed Germination: Analysis of Plasma-Irradiation Effects Using an Electron Spin Resonance (ESR) Technique2021

    • 著者名/発表者名
      Kenji Ishikawa, Ryo Arita, Takamasa Okumura, Pankaj Attri, Kazunori Koga, Ryoya Sato, Hayate Tanaka, Masaya Hiromatsu, Kayo Matsuo, Daisuke Yamashita, Kunihiro Kamataki, Naho Itagaki, Masaru Hori, and Masaharu Shiratani
    • 学会等名
      8th International Conference on Plasma Medicine (ICPM8)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Enhancement of biodegradation technology of polyethylene terephthalate with plasma-pretreatment2021

    • 著者名/発表者名
      Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori
    • 学会等名
      31st Material Research Society in Japan (MRS-J)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Plasma etching2021

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      Advanced Metallization Conference 2021
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Cellular Respiration System Affected by Low-temperature Plasma2021

    • 著者名/発表者名
      Hiromasa Tanaka, Shogo Maeda, Shogo Matsumura, Masaaki Mizuno, Kenji Ishikawa, Masafumi Ito, Hiroshi Hashizume, Mikako Ito, Kinji Ohno, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Yasumasa Okazaki, Shinya Toyokuni, and Masaru Hori
    • 学会等名
      31st Material Research Society in Japan (MRS-J)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Development of new biodegradation technology by surface modification of polyethylene terephthalate using atmospheric pressure plasma2021

    • 著者名/発表者名
      Daichi Goto, Naoyuki Iwata, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Masafumi Ito, and Masaru Hori
    • 学会等名
      20th Interfinish World Congress
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Ar/C4F8/SF6を用いたガス変調サイクルプロセスにおける活性種の挙動2021

    • 著者名/発表者名
      吉江 泰斗, 堤 隆嘉, 石川 健治, 堀 勝
    • 学会等名
      第82回秋季応用物理学会
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] 広がるプラズマ材料科学:半導体からバイオまで2021

    • 著者名/発表者名
      石川健治
    • 学会等名
      MRM forum 2021
    • 招待講演
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Efficacy for Rice Plant Growth with Plasma Irradiation to Seeds2021

    • 著者名/発表者名
      Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
    • 学会等名
      8th International Conference on Plasma Medicine (ICPM8)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Effects of cold plasma treatment with rice seedlings in a paddy on yield and grain quality for different cultivars2021

    • 著者名/発表者名
      Hiroshi Hashizume, Hidemi Kitano, Hiroko Mizuno, Akiko Abe, Kaoru Sanda, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
    • 学会等名
      31st Material Research Society in Japan (MRS-J)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H04451
  • [学会発表] Transient effects in cyclic processes on fabrications of high-aspect-ratio trenches2021

    • 著者名/発表者名
      Taito Yoshie, Takayoshi Tsutsumi, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      42nd International Symposium on Dry Process (DPS)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-21H01073
  • [学会発表] Effect of Non-Equilibrium Atmospheric Pressure Plasma on Alcohol Fermentation of Budding Yeast2020

    • 著者名/発表者名
      Shogo Matsumura, Hiromasa Tanaka, Hiroshi Hashizume, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Germination kinetics of plasma-activated seeds of lettuce lactuca sativa2020

    • 著者名/発表者名
      Kenji Ishikawa, Ryoya Sato, Kayo Matsuo, Hayate Tanaka, Masaya Hiromatsu, Ryo Arita, Tomoaki Yoshida, Pankaj Attri, Kunihiro Kamataki, Naho Itagaki, Daisuke Yamashita, Kazunori Koga, and Masaharu Shiratani
    • 学会等名
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Extracellular Flux Analysis of Mitochondrial Stress on HeLa Cells in Plasma Activated Ringer’s Lactate Solution (PAL)2020

    • 著者名/発表者名
      Shogo Maeda, Hiromasa Tanaka, Kae Nakamura, Hiroshi Hashizume, Kenji Ishikawa, Kinji Ohno, Mikako Ito, Masaaki Mizuno, Fumitaka Kikkawa and Masaru Hori
    • 学会等名
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Non-Equilibrium Atmospheric Pressure Plasma-Activated Organic Solutions2020

    • 著者名/発表者名
      Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Fumitaka Kikkawa, Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni, and Masaru Hori
    • 学会等名
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] プラズマ生成機能性窒素ラジカル誘起の連鎖反応の統合解析に向けて2020

    • 著者名/発表者名
      石川 健治
    • 学会等名
      第33回プラズマ新領域研究会
    • 招待講演
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Molecular Structure Analysis on Surface of Glucose Film Using Sum Frequency Generation Spectroscopy2020

    • 著者名/発表者名
      Yuta Yoshida, Takayuki Ohta, Kenji Ishikawa and Masaru Hori
    • 学会等名
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Measurement of Spatial Distribution of Absolute Hydrogen Radical Density in Non- Equilibrium Atmospheric Pressure Plasma by Vacuum Ultraviolet Absorption Spectroscopy2020

    • 著者名/発表者名
      Kaede Katsuno, Takayoshi Tsutsumi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Plasma-Activated Medium Induced Oxidative Stress-Dependent Cell Death on Glioblastoma Cells2020

    • 著者名/発表者名
      Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Yasumasa Okazaki, Shinya Toyokuni and Fumitaka Kikkawa
    • 学会等名
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Ionization Enhancement Using CNWs in Laser Desorption / Ionization Mass Spectrometry2020

    • 著者名/発表者名
      Ryusei Sakai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Enhancement of Intracellular Lipids Accumulation in Plasma-Treated Coccomyxa sp.2020

    • 著者名/発表者名
      Takumi Kato, Hiromasa Tanaka, Hiroshi Hashizume, Kenji Ishikawa and Masaru Hori
    • 学会等名
      ISPlasma2010/IC-PLANTS2020, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Low Temperature Plasma Surface Interactions Among Multiple Phase2019

    • 著者名/発表者名
      Kenji Ishikawa, Masaru Hori
    • 学会等名
      5th Asian Workshop on Applied Plasma Science and Engineering, Kuala Lumpur, Malaysia
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Enhancement of alcohol production of budding yeasts by treatment of nonequilibrium atmospheric pressure plasma2019

    • 著者名/発表者名
      Shogo Matsumura, Kenji Ishikawa, H. Tanaka, H. Hashizume, M. Ito, M. Hori
    • 学会等名
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Differences of intracellular molecular mechanisms of cell death between plasmaactivated medium-treated glioblastoma cells and plasma-activated Ringer’s lactate solution-treated glioblastoma cells2019

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaru Hori
    • 学会等名
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Lifetime of bactericidal efficacy in oxygen-radical-activated phenylalanine solution2019

    • 著者名/発表者名
      Naoyuki Iwata, Gamaleev Vladislav, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • 学会等名
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Aqueous Reactions in Non-equilibrium Atmospheric Pressure Plasma-activated Ringer s Lactate Solutions2019

    • 著者名/発表者名
      Yang Liu, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Takyoshi Tsutsumi, Fumitaka Kikkawa, Kae Nakamura, Masaaki Mizuno, Shinya Toyokuni, Hiroki Kondo, and Masaru Hori
    • 学会等名
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] プラズマ誘起有機酸の前駆体が拓く超バイオ機能2019

    • 著者名/発表者名
      石川 健治, 橋爪 博司, 田中 宏昌, 吉武 淳, 柴田 貴広, 小鹿一, 伊藤 昌文, 古閑 一憲, 白谷 正治, 豊國 伸哉, 吉川 史隆, 水野 正明, 堀 勝
    • 学会等名
      秋季応用物理学会(北海道大学)
    • 招待講演
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Optimized properties of carbon nanowall surface for laser desorption / ionization mass spectrometry2019

    • 著者名/発表者名
      Ryusei Sakai, Tomonori Ichikawa, Shun Imai, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Mineo Hiramatsu, Makoto Sekine, Masaru Hori
    • 学会等名
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Modification of lipid metabolism in Coccomyxa sp. treated by non-equilibrium atmosphere pressure plasma2019

    • 著者名/発表者名
      Takumi Kato, Hiroki Kondo, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      Material Research Meeting (MRM) 2019 (Yokohama)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Novel bactericidal method for aquaculture using benzoic-compound solutions treated with oxygen radicals2019

    • 著者名/発表者名
      Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • 学会等名
      24th International Symposium on Plasma Chemistry (ISPC24)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] 低温プラズマの大気圧下での発生・発生源から生体への活性種輸送2019

    • 著者名/発表者名
      石川 健治, 田中 宏昌, 豊國 伸哉, 吉川 史隆, 水野 正明, 堀 勝
    • 学会等名
      第72 回日本酸化ストレス学会(札幌)
    • 招待講演
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Chemical reaction analysis on surface of glucose-film using sum-frequency generation spectroscopy2019

    • 著者名/発表者名
      Yuta Yoshida, Ryoya Katuya, Takayuki Ohta, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Mechanism of Selective Killing of Glioblastoma to Astrocytes in PlasmaActivated Lactate2019

    • 著者名/発表者名
      Yugo Hosoi, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Masaaki Mizuno, Fumitaka Kikkawa and Masaru Hori
    • 学会等名
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Surface reaction analysis of plasma-treated bio-molecules using sum frequency generation spectroscopy2019

    • 著者名/発表者名
      Takayuki Ohta, Ryoya Katsuya, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      24th International Symposium on Plasma Chemistry (ISPC24)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Intracellular response of HeLa cells treated by plasma-activated Ringer's lactate solution2019

    • 著者名/発表者名
      Shogo Maeda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
    • 学会等名
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Radical activated solutions with bactericidal and plant-growth effects2019

    • 著者名/発表者名
      Masafumi Ito, Naoyuki Iwata, Vladislav Gamaleev, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      72nd Annual Gaseous Electronics Conference (GEC) (Texas, USA)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Cell response in treatment of plasma-activated Ringer’s acetate2019

    • 著者名/発表者名
      Yuki Suda, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
    • 学会等名
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Intracellular Reactive Oxygen Species Responses of HeLa Cells Treated with PlasmaActivated Organics2019

    • 著者名/発表者名
      Shogo Maeda, Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • 学会等名
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Regulation of Cell Proliferation Changing Duty Ratio of an Electrical Stimulation on Carbon Nanowalls Scaffold2019

    • 著者名/発表者名
      Tomonori Ichikawa, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Kenji Ishikawa, Makoto Sekine and Masaru Hori
    • 学会等名
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Cytotoxicity of Plasma-Activated Glucose and Amino Acids2019

    • 著者名/発表者名
      Maho Yamada, Nanami Ito, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori
    • 学会等名
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Similarities and differences of biological networks between PAM- and PAL-treated glioblastoma cells2019

    • 著者名/発表者名
      Masaru Hori, Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, and Fumitaka Kikkawa
    • 学会等名
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Non-thermal plasma as a powerful tool to synthesize bio-effective materials in solutions2019

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
    • 学会等名
      Material Research Meeting (MRM) 2019 (Yokohama)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Bactericidal activity in oxygen-radical-activated water2019

    • 著者名/発表者名
      Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • 学会等名
      24th International Symposium on Plasma Chemistry (ISPC24)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Gene expression dynamics of glioblastoma cells in plasma-activated medium and plasma-activated Ringer’s lactate solution2019

    • 著者名/発表者名
      Hiromasa Tanaka, Masaru Hori, Masaaki Mizuno, Kenji Ishikawa, H. Kondo, H. Hashizume, T. Tsutsumi, K. Nakamura, N. Yoshikawa, H. Kajiyama, Shinya Toyokuni, and Fumitaka Kikkawa
    • 学会等名
      24th International Symposium on Plasma Chemistry (ISPC24)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Behavior of metastable Ar atom generated in microwave excited atmospheric pressure Ar gas plasma jet in open air2019

    • 著者名/発表者名
      Keigo Takeda, Fumiya Satake, Masaki Kataoka, Mineo Hiramatsu, Kenji Ishikawa, Masaru Hori
    • 学会等名
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Spectroscopic diagnostics of microwave excited atmospheric pressure ar plasma jet in open air2019

    • 著者名/発表者名
      Keigo Takeda, Mineo Hiramatsu, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      72nd Annual Gaseous Electronics Conference (GEC) (Texas, USA)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Generation of bactericidal efficacy in neutral ph range using oxygen-radical treatment of organic compound2019

    • 著者名/発表者名
      Naoyuki Iwata, Vladislav Gamaleeve, Jun-Seok Oh, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • 学会等名
      XXXIV International Conference on Phenomena in Ionized Gases (XXXIV ICPIG) and the 10th International Conference on Reactive Plasmas (ICRP-10)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Intracellular responses of coccomyxa sp. during culture in plasma-treated nutrient solution2019

    • 著者名/発表者名
      Takumi Kato, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Yield improvement of rice plants with cold plasma treatment in paddy field during cultivation2019

    • 著者名/発表者名
      Hiroshi Hashizume, Hidemi Kitano, Genki Yuasa, Satoe Tohno, Hiromasa Tanaka, Kenji Ishikawa, Shogo Matsumoto, Hitoshi Sakakibara, Susumu Nikawa, Masayoshi Maeshima, Masaaki Mizuno, and Masaru Hori
    • 学会等名
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Morphological Dynamics of Dying Cells Incubated in Plasma-Activated Medium2019

    • 著者名/発表者名
      Nanami Ito, Maho Yamada, Yugo Hosoi, Hiromasa Tanaka, Kenji Ishikawa and Masaru Hori
    • 学会等名
      ISPlasma2019/IC-PLANTS2019, Nagoya Institute of Technology, Nagoya, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] プラズマ誘起液相ラジカル連鎖反応2019

    • 著者名/発表者名
      石川 健治, 堀勝,古閑一憲,白谷正治
    • 学会等名
      第35回 九州・山口プラズマ研究会(対馬)
    • 招待講演
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Physics and chemistry in low-temperature plasma bioapplications2019

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Makoto Sekine, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
    • 学会等名
      The 7th East Asia Joint Symposium on Plasma and Electrostatics Technologies for Environmental Applications (EAPETEA-7)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] In-liquid plasma formation at low temperature of in situ binding SnO2/graphene2019

    • 著者名/発表者名
      Kenji Ishikawa, Rajit R. Borude, Hirotsugu Sugiura, Takayoshi Tsutsumi, Hiroki Kondo, Nobuyuki Ikarashi, and Masaru Hori
    • 学会等名
      10th International workshop on microplasma (IWM)
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Growth Promotion Effect of Oxygen-Radical-Treated Phenylalanine Solutions2019

    • 著者名/発表者名
      Naoyuki Iwata, Vladislav Gamaleeve, Hiroshi Hashizume, Jun-Seok Oh, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • 学会等名
      Material Research Meeting (MRM) 2019 (Yokohama)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Gene expression analyses revealed the differences of intracellular molecular mechanisms of PAM- and PAL-induced cell death2019

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Yuko Katsumata, Kenji Ishikawa, Hiroki Kondo, Hiroshi Hashizume, Yasumasa Okazaki, Shinya Toyokuni, Kae Nakamura, Nobuhisa Yoshikawa, Hiroaki Kajiyama, Fumitaka Kikkawa, and Masaru Hori
    • 学会等名
      Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] AC励起非平衡大気圧Arプラズマ生成活性種の時空間分布計測2018

    • 著者名/発表者名
      倉増 廉, 竹田 圭吾, 石川 健治, 堤 隆嘉, 橋爪 博司, 田中 宏昌, 近藤 博基, 関根 誠, 堀 勝
    • 学会等名
      第65回 応用物理学会 春季学術講演会
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] プラズマ活性乳酸処理グリオーマのメタボロミクス解析2018

    • 著者名/発表者名
      細井 祐吾, 石川 健治, 田中 宏昌, 橋爪 博司, 豊國 伸哉, 吉川 史隆, 水野 正明, 堀 勝
    • 学会等名
      第65回 応用物理学会 春季学術講演会
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Time evolution of reactive oxygen and nitrogen species in plasma-activated media2018

    • 著者名/発表者名
      Timothy Brubaker, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPLasma - 10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials March 04-08, 2018
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Mechanisms of plasma jets impinging upon liquids2018

    • 著者名/発表者名
      T. Brubaker, K. Ishikawa, H. Hashizume, H. Tanaka, T. Tsutsumi, H. Kondo, S. Knecht, S. Bilen, and M. Hori
    • 学会等名
      7th International Conference on Plamsa Medicine (ICPM7), Drexekl University Philadelphia, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] プラズマ活性ラクテック(PAL)で培養処理によるしたヒーラ(HeLa)細胞応答メカニズム2018

    • 著者名/発表者名
      前田 昌吾, 細井 祐吾, 石川 健治, 橋爪 博司, 田中 宏昌, 堀 勝
    • 学会等名
      第65回 応用物理学会 春季学術講演会
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] プラズマ活性ラクテックの抗腫瘍効果物質の解明2018

    • 著者名/発表者名
      細井 祐吾, 石川 健治, 橋爪 博司, 田中 宏昌, 吉川 史隆, 水野 正明, 堀 勝
    • 学会等名
      第65回 応用物理学会 春季学術講演会
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Intracellular responses in apoptotic cells to reactive species in plasma treated liquids2018

    • 著者名/発表者名
      K. Ishikawa, H. Tanaka, T. Kondo, S. Toyokuni, F. Kikkawa, M. Mizuno, and M. Hori
    • 学会等名
      7th International Conference on Plamsa Medicine (ICPM7), Drexekl University Philadelphia, USA
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] カーボンナノウォール足場上の細胞増殖に及ぼす電気刺激効果2018

    • 著者名/発表者名
      市川知範、近藤博基、橋爪博司、田中宏昌、堤隆義、石川健治、堀勝
    • 学会等名
      第65回応用物理学会春季学術講演会
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Low temperature plasma surface interactions for their future basic researches and applications2018

    • 著者名/発表者名
      K. Ishikawa, M. Itou, and M. Hori
    • 学会等名
      22nd International Conference Gas Discharges and Their Applications, Serbia
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Cell response mechanisms of HeLa cells cultured with plasma-activated lactate Ringer’s solution (PAL)2018

    • 著者名/発表者名
      S. Maeda, Y. Hosoi, K. Ishikawa, H. Hashizume, H. Tanaka, T. Takayoshi, H. Kondo, M. Sekine, and M.Hori
    • 学会等名
      28th Materials Research Society of Japan (MRS-J) , Kitakyusyu International Conference Center, Fukuoka, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] プラズマ活性乳酸の物理化学解析2018

    • 著者名/発表者名
      細井 祐吾, 石川 健治, 橋爪 博司, 田中 宏昌, 吉川 史隆, 水野 正明, 堀 勝
    • 学会等名
      第65回 応用物理学会 春季学術講演会
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Similarities and Differences in Gene Transcription in Plasma-activated Medium Treated and Plasma-activated Ringer’s Lactate Solution Treated Glioblastomas2018

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, H. Hashizume, H. Kondo, M. Sekine, K. Nakamura, H. Kajiyama, S. Akiyama, S. Maruyama, S. Toyokuni, F. Kikkawa, M. Hori
    • 学会等名
      7th International Conference on Plamsa Medicine (ICPM7), Drexekl University Philadelphia, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Inhomogeneity of nitrite anion concentrations in depth and time by convection during and after plasma-liquid interactions2018

    • 著者名/発表者名
      Timothy R. Brubaker, Kenji Ishikawa, Keigo Takeda, Jun-Seok Oh, Masafumi Ito, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Sean D. Knecht, Sven G. Bil?n, and Masaru Hori
    • 学会等名
      2nd International Workshop on Plasma Agriculture, March 9-12, 2018, Takayama, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Nonequilibrium atmospheric pressure plasma activates organic substances for antitumor effects2018

    • 著者名/発表者名
      Kenji Ishikawa, Yugo Hosoi, Hiromasa Tanaka, Hiroshi Hashizume, Shinya Toyokuni, Fumitaka Kikkawa, Masaaki Mizuno, and Masaru Hori
    • 学会等名
      40th International Symposium on Dry Process (DPS2018), Toyoda Auditorium, Nagoya University, Nagoya, Aichi, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Biological Effects of Plasma-Irradiated Oraganic Molecules in Plasma-Treated Liquids2018

    • 著者名/発表者名
      Kenji Ishikawa, Y. Hosoi, D.Kanno, Y. Kurokawa, H. Tanaka, M. Mizuno, F.Kikkawa, M.Hori
    • 学会等名
      AVS 65th INTERNATIONAL SYMPOSIUM & EXHIBITION 2018, Long Beach, California, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Plasma-activated medium and plasma-activated Ringer’s lactate solution exhibited anti-tumor effects through different mechanisms2018

    • 著者名/発表者名
      Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      ISPLasma - 10th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials March 04-08, 2018
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Anti-proliferative effect of glyoxylate generated in plasma-activated lactate solution2018

    • 著者名/発表者名
      Y. Hosoi, K. Ishikawa, H. Tanaka, H. Hashizume, F. Kikkawa, M. Mizuno and M. Hori
    • 学会等名
      28th Materials Research Society of Japan (MRS-J) , Kitakyusyu International Conference Center, Fukuoka, Japan
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] プラズマ活性ラクテック中脂質二重膜形状の高速原子間力顕微鏡観察2018

    • 著者名/発表者名
      山岡 壮太郎, 戸波 卓也, 橋爪 博司, 近藤 博基, 石川 健治, 田中 宏昌, 堀 勝
    • 学会等名
      第65回 応用物理学会 春季学術講演会
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] 酸素ラジカル照射された馬肉水抽出液のESR測定2017

    • 著者名/発表者名
      北田 悠人、林 利哉、石川 健治、堀 勝、伊藤 昌文
    • 学会等名
      第64回応用物理学会春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Time Evolution of Reactive Oxygen Nitrogen Species in Plasma-Activated Liquids2017

    • 著者名/発表者名
      Timothy R. Brubaker, K.Ishikawa, K.Takeda, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 医療・バイオ応用プラズマ技術2017

    • 著者名/発表者名
      石川 健治、堀勝
    • 学会等名
      電子情報技術部会・エレクトロニクス交流会エレクトロ二クス応用技術講演企画WG 講演会「プラズマエレクトロニクスの新展開」
    • 発表場所
      新化学技術推進協会会館
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Measurements of reactive species and VUV radiators generated by AC excited atmospheric pressure Ar plasma jet in open air2017

    • 著者名/発表者名
      Keigo Takeda, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      AEPSE 2017, Jeju International Convention Center, Jeju Island, Republic of Korea, September 11-15, 2017
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] プラズマ活性培養液の抗腫瘍効果の増強2017

    • 著者名/発表者名
      細井 祐吾,古田 凌, 倉家 尚之, 石川 健治, 橋爪 博司, 田中 宏昌, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] A Mechanism on Egneration of H2O2 and NO2- in Plasma Activated Medium2017

    • 著者名/発表者名
      N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno,K.Takeda, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Behavior of OH Radical in Gas-Liquid Interface Region on Ac Excided Non-Equilibrium Atmospheric Pressure Plasma Jet Irradiation to Liquid2017

    • 著者名/発表者名
      R. Kuramashi, K.Takeda, K.Ishikawa, H.tanaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effect of shape and conductivity of carbon nanowalls scaffold on cell morphology and proliferation2017

    • 著者名/発表者名
      Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Hiroshi Hashizume, Hiromasa Tanaka, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • 学会等名
      Workshop on advanced plasma applications on bio and nanomaterials
    • 発表場所
      Nagoya University
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] カーボンナノウォール足場上での電気刺激が細胞増殖と接着形態に及ぼす効果2017

    • 著者名/発表者名
      市川 知範, 田中 彗貴, 近藤 博基, 橋爪 博司,田中 宏昌, 竹田圭吾, 石川 健治, 関根 誠, 堀 勝
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Investigation the effects of gas flow rate on atmospheric pressure plasma2017

    • 著者名/発表者名
      Yu-Chun Lin, Kenji Ishikawa, Masaru Hori, and Meng-Jiy Wang
    • 学会等名
      APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, December 15-17, 2017. Taiwan.
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Observation of intracellular-molecular changes in plasma-irradiated cells using multiplex coherent anti-Stokes Raman scattering microscopy2017

    • 著者名/発表者名
      Takayuki Ohta, Ryo Furuta, Naoyuki Kurake, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiroki Kondo, Masafumi Ito, and Masaru Hori
    • 学会等名
      AEPSE 2017, Jeju International Convention Center, Jeju Island, Republic of Korea, September 11-15, 2017
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] 酵母菌を用いたプラズマ活性水の評価2017

    • 著者名/発表者名
      中村 昭平、堀越 章,、高辻 茂、河野 元宏,、木瀬 一夫、橋爪 博司、石川 健冶、堀 勝
    • 学会等名
      第64回応用物理学会春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 高速原子間力顕微鏡を用いたプラズマ活性培養液内の 活性酸素窒素種による支持脂質二重膜変化の解析2017

    • 著者名/発表者名
      戸波卓也, 倉家尚之, 橋爪博司, 近藤博基, 石川健治, 竹田圭吾, 田中宏昌, 関根誠, 伊藤昌文, 手老龍吾, 堀 勝
    • 学会等名
      第34回 プラズマプロセシング研究会/第29回 プラズマ材料科学シンポジウム
    • 発表場所
      北海道大学・学術交流会館
    • 年月日
      2017-01-16
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma technology for medicine and bio application2017

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      International Workshop on advanced plasma applications on bio and nanomaterials
    • 発表場所
      Nagoya, Japan
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Programmed process for synthesis of calcium oxalate crystals in a bufferred glucose solution by irradiation with non-equilibrium atmospheric-pressure plasma2017

    • 著者名/発表者名
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Yoko Yamanishi, Yuzuru Ikehara, and Masaru Hori
    • 学会等名
      iPlasmaNano-VIII July 2-6, 2017. the University of Antwerp, Antwerp, Belgium.
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Interaction of Plasma with Cells for Agriculture and Biomedical Applications2017

    • 著者名/発表者名
      M.Hori, M.Sekine, K.Ishikawa, H.Kondo, K.Takeda, H,Hashizume, H.Tanaka, F.Kikkawa, M.Mizuno, M.Ito
    • 学会等名
      AJC-APSE
    • 発表場所
      Nong Lam Univsersity
    • 年月日
      2017-01-11
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] フラレノール添加プラズマ活性培養液の抗腫瘍効果2017

    • 著者名/発表者名
      神農 大輝,倉家 尚之,田中 宏昌,石川 健治,橋爪 博司,竹田 圭吾,近藤 博基,関根 誠,堀 勝
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Quantitative pH imaging of plasma‐treated liquids using bromothymol blue2017

    • 著者名/発表者名
      Timothy Ryan Brubaker, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Takayoshi Tsutsumi, Hiroki Kondo, Masaru Hori
    • 学会等名
      APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, December 15-17, 2017. Taiwan.
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] レーザー誘起プラズマ活性培養液(LPAM)内活性種生成と抗腫瘍効果2017

    • 著者名/発表者名
      黒川幸宏,倉家尚之,竹田圭吾,石川健治,橋爪博司, 田中宏昌,近藤博基,関根誠,堀勝
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] カーボンナノウォールを用いた表面支援レーザー脱離/イオン化質量分析法によるペプチドの測定2017

    • 著者名/発表者名
      伊藤 寛納、太田 貴之、石川 健治、近藤 博基、平松 美根男、堀 勝
    • 学会等名
      第78回応用物理学会秋季学術講演会 於:福岡国際会議場
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] 未来の健康長寿社会を実現するプラズマ医療技術への挑戦2017

    • 著者名/発表者名
      石川健治,田中宏昌,豊國伸哉, 水野正明,吉川史隆,堀勝
    • 学会等名
      プラズマカンファレンス 於:姫路
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Dynamic Observations on Morphology of HeLa Cell Membranes in Plasma Activated Medium by high-speed Atomic Force Microscopy2017

    • 著者名/発表者名
      S.Yamaoka, Y.Tonami, H.Hashizume, H.Kondo, K.Ishikawa, K.Takeda, H.Tanaka, M.Sekine, M.Ito, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Antitumor Effects of Laser-Generated Plasma Activated Medium (LPAM) with and without Catalase2017

    • 著者名/発表者名
      Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 非平衡大気圧プラズマ源の構造検討2017

    • 著者名/発表者名
      勝野 楓、石川 健治、堤 隆嘉、竹田 圭吾、橋爪 博司、田中 宏昌、近藤 博基、関根 誠、堀 勝
    • 学会等名
      第78回応用物理学会秋季学術講演会 於:福岡国際会議場
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] カーボンナノウォールを用いた表面支援レーザー脱離/イオン化質量分析法によるペプチドの分析2017

    • 著者名/発表者名
      伊藤 寛納、太田 貴之、石川 健治、近藤 博基、平松 美根男、堀 勝
    • 学会等名
      プラズマカンファレンス 於:姫路
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Carbon nanowall (CNW) electrochemical H2O2 sensor2017

    • 著者名/発表者名
      M. Tomatsu, M. Hiramatsu, K. Ishikawa, H. Kondo, M. Hori
    • 学会等名
      The 39th International Symposium on Dry Process (DPS2017)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] プラズマ活性ラクテック (PAL)内成分の物理化学解析と抗腫瘍効果の解明2017

    • 著者名/発表者名
      細井 祐吾、石川 健治、田中 宏昌、橋爪 博司、水野 正明、堀 勝
    • 学会等名
      プラズマカンファレンス 於:姫路
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Multiplex Coherent Anti-Stokes Raman Scattering (CARS) Observations of HeLa Cells Cultured in Non-equilibrium Atmospheric Pressure-Plasma-Activated Medium (PAM)2017

    • 著者名/発表者名
      Kenji Ishikawa, Ryo Furuta, Keigo Takeda, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      AVS - 4th International Symposium and Exhibition, October 29-November 03, 2017. Tampa, Florida, USA
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Anti-Tumor Effects of Plasma-Activated Medium Which Contains Fullerenol2017

    • 著者名/発表者名
      D.Kanno, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, H.Kondo, K.Ishikawa, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性培養液処理した細胞内酸化窒素活性種(RONS)の解析2017

    • 著者名/発表者名
      石川 健治, 田中 宏昌, 橋爪 博司, 竹田 圭吾, 太田 貴之, 伊藤 昌文, 中村 香江, 梶山 広明, 吉川 史隆, 豊國 伸哉, 水野 正明, 堀 勝
    • 学会等名
      第70回日本酸化ストレス学会学術集会 於:つくば国際会議場
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Ambient control during preparations of the plasma-activated medium (PAM) for antitumor effects2017

    • 著者名/発表者名
      Yugo Hosoi, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      AEPSE 2017, Jeju International Convention Center, Jeju Island, Republic of Korea, September 11-15, 2017
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Dynamics of Intracellular Responses in HeLa Cells Cultured in Non-equilibrium Atmospheric Pressure-Plasma-treated Medium (PAM)2017

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, December 15-17, 2017. Taiwan.
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Effects of dielectric walls on atmospheric-pressure plasma discharges2017

    • 著者名/発表者名
      Kaede Katsuno, Kenji Ishikawa, Takayoshi Tsutsumi, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      APSPT-10 - 10th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology, December 15-17, 2017. Taiwan.
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Enhancement of Cancer Cell Killing Effect of Hydrogen Peroxide by Nitrite Existence in Plasma Activate Medium2017

    • 著者名/発表者名
      N.Kurake, H.Tanaka, K.Ishikawa, K.Nakamura, H.Kajiyama, F.Kikkawa, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Time evolution of reactive oxygen nitrogen species in plasma-activated liquids2017

    • 著者名/発表者名
      Timothy Brubaker, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Efficacy of Intraperitoneal Therapy with Plasma-Activated Medium (PAM) Targeting Micrometastasis in Gastric Cancer in Nude Mice2017

    • 著者名/発表者名
      H.Nakamishi, H.Tanaka, K.Ishikawa, M.Hori, Y.Ikehara
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Antitumor Effect of Culture Medium Irradiated with Non-Equilibrium Atmospheric Pressure Plasmas under Purge of Ambient by N2 and O2 Mixtures2017

    • 著者名/発表者名
      Y.Hosoi, R.Furuta, N.Kurake, K.Ishikawa, H.Hashizume,H.Tanaka, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Elucidation of the Effects of Plasma-Activated Medium on Supported Lipid Bilayers by employing High-Speed Atomic Force Microscopy2017

    • 著者名/発表者名
      T.Tonami, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性培養液(PAM)処理グリオーマのメタボロミクス解析2017

    • 著者名/発表者名
      倉家 尚之, 田中 宏昌, 石川 健治, 橋爪 博司 中村 香江, 梶山 広明, 吉川 史隆, 水野 正明, 竹田 圭吾, 近藤 博基, 関根 誠, 堀 勝
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Comparison of Inflammation after Hemostasis with Non-Thermal Plasma and thermal Coagulation by Using Molecular Imagining Technique2017

    • 著者名/発表者名
      M.Ueda, D.Yamagami, A.Morioka, K.Watanabe, K.Sano, A.Mori, H.Kimura, H.Saji, H.Sakakita, Y.Ikehara, K.Ishikawa, M.Hori, S.Enomoto
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] State of The Arts in Plasma Medical Science2017

    • 著者名/発表者名
      M.Hori, H.Tanaka, K.Ishikawa, K.Takeda, H.Hashizume, H.Kondo,M.Sekine, M.Mizuno, S.Toyokuni, F.Kikkawa
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effect of Plasma-Treatment of The In Vitro Growth Of Trypanosoma Brucei2017

    • 著者名/発表者名
      N.Yokoyama, H.Sakakita, Y.Akimoto, T.Sivalumar, M.Inoue, K.Ishikawa, M.Hori, Y.Ikehara
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Intracellular Changes of Reactive Oxygen and Nitrogen Species in HeLa Cells Induced by Plasma-Activated Medium2017

    • 著者名/発表者名
      R.Furuta, K.Ishikawa, H.Hashizume, H.Tanaka, K.Takeda, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 医療・バイオ応用プラズマ技術2017

    • 著者名/発表者名
      石川健治
    • 学会等名
      電子情報技術部会 エレクトロニクス交流会エレクトロニクス応用技術講演会「プラズマエレクトロニクスの新展開」
    • 発表場所
      東京都千代田区
    • 年月日
      2017-03-29
    • 招待講演
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Intracellular responses during incubation in plasma-activated cell culture medium (PAM)2017

    • 著者名/発表者名
      Kenji Ishikawa, Ryo Furuta, Naoyuki Kurake, Keigo Takeda, Hiroshi Hashizume, Hiromasa Tanaka, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      27th annual meeting of MRS-J, Yokohama, Japan, December 5-7, 2017
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] Anti-Cancer Effect on Cancer-Initiating Cells Treated with Plasma-Activated Medium(PAM) in Cancer Cells2017

    • 著者名/発表者名
      J.Ikeda, H.Tanaka, K.Ishikawa, H.Sakakita, Y.Ikehara, M.Hori
    • 学会等名
      The 1st International Conference on Plasma medical Science Innovation
    • 発表場所
      Nagoya University, Noyori Conference Hall
    • 年月日
      2017-02-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ照射有機溶液を用いたシュウ酸カルシウム結晶の生成機構2017

    • 著者名/発表者名
      岡部 萌、倉家 尚之、石川 健治、田中 宏昌、橋爪 博司、堤 隆嘉、近藤 博基、関根 誠、堀 勝
    • 学会等名
      第78回応用物理学会秋季学術講演会 於:福岡国際会議場
    • データソース
      KAKENHI-PROJECT-17H02805
  • [学会発表] A Novel Controlling Method of differentiation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulation2017

    • 著者名/発表者名
      Tomonori Ichikawa, Suiki Tanaka, Hiroki Kondo, Kenji Ishikawa, Hiroshi Hasizume, Hiromasa Tanaka and Masaru Hori
    • 学会等名
      Asian-European International Conference on Plasma Surface Engineering (AEPSE 2017)
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] マルチプレックスCARSによるプラズマと細胞の相互作用の観察2017

    • 著者名/発表者名
      古田 凌、石川 健治、橋爪 博司、田中 宏昌、竹田 圭吾、太田 貴之、近藤 博基、伊藤 昌文、関根 誠、堀 勝
    • 学会等名
      第64回応用物理春季学術講演会
    • 発表場所
      パシフィコ横浜
    • 年月日
      2017-03-14
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性培養液処理をしたHeLa細胞の細胞内応答解析2017

    • 著者名/発表者名
      古田 凌, 石川 健治, 橋爪 博司, 田中 宏昌, 竹田 圭吾, 太田 貴之, 近藤 博基, 伊藤 昌文, 関根 誠, 堀 勝
    • 学会等名
      第34回 プラズマプロセシング研究会/第29回 プラズマ材料科学シンポジウム
    • 発表場所
      北海道大学・学術交流会館
    • 年月日
      2017-01-16
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ励起化学気相堆積法で成長したア モルファスカーボン膜の吸収端近傍X線吸収微細構造における高周波電&#63882;依存性2016

    • 著者名/発表者名
      杉浦啓嗣、&#63747;&#63829;雲、佐藤俊一、近藤博基、石川健治、竹田圭吾、関根誠、堀勝
    • 学会等名
      第63回応用物理学会春季学術講演会
    • 発表場所
      東京工業大学大岡山キャンパス
    • 年月日
      2016-03-19
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Induction of human breast cancer cells to selective death by plasma-activated medium2016

    • 著者名/発表者名
      Hiroshi Hashizume, Hiromasa Tanaka, Kae Nakamura, Hiroyuki Kano, Kenji Ishikawa, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
    • 学会等名
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Dynamic behavior of HeLa cells in plasma-activated medium2016

    • 著者名/発表者名
      R.Furuta, H.hashizume, K.Ishikawa, H.Tanaka, K.Takeda, T. Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] A Novel Controlling Method of Proliferation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulation2016

    • 著者名/発表者名
      Suiki Tanaka, Tomonori Ichikawa, Hiroki Kondo, Kenji Ishikawa, Hiroshi Hashizume, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • 学会等名
      The 26th annual meeting of MRS-J
    • 発表場所
      Yokohama, Japan
    • 年月日
      2016-12-19
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-activated Medium (PAM) and Metabolic Analysis of Glioblastoma (U251SP)2016

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      International Symposium on Material Research Society Japan (MRS-J)
    • 発表場所
      Yokohama, Japan
    • 年月日
      2016-12-19
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Gas-Phase Diagnostics of AC Excited Non-equilibrium Atmospheric Pressure Plasma Jet for Biomedical Application2016

    • 著者名/発表者名
      T. Kumakura, K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, Y. Nakai, M. Sekine, M. Hori
    • 学会等名
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] CE-TOF-MS analysis of aerobic glycolysis metabolism on glioblastoma (U251SP) modified in electrically discharged plasma activated medium (PAM) cultivation2016

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      6th NU-SKKU Joint Symposium on Neuroscience was held at Center of Neuroscience Imaging Research
    • 発表場所
      Suwon, Korea
    • 年月日
      2016-11-24
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] A Novel Controlling Method of Proliferation of Cultured Cells on Carbon Nanowalls Scaffold with an Electric Stimulation2016

    • 著者名/発表者名
      Suiki TANAKA, Tomonori ICHIKAWA, Hiroki KONDO, Kenji ISHIKAWA, Hiroshi HASHIZUME, Hiromasa TANAKA, Makoto SEKINE, Masaru HORI
    • 学会等名
      The 26th annual meeting of Materials Research Society-Japan
    • 発表場所
      Yokohama
    • 年月日
      2016-12-19
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Effects of Residence Time on Growth Characteristics and Properties of Amorphous Carbon Films Grown by Radical-Injection Plasma-Enhanced Chemical Vapor Deposition2016

    • 著者名/発表者名
      H. Sugiura, L. Jia, S. Sato, H. Kondo, K. Takeda, K. Ishikawa M. Sekine and M. Hori
    • 学会等名
      2016 Materials Research Society Fall Meeting & Exhibit, Boston, Massachusetts
    • 発表場所
      Boston, Massachusetts, United States
    • 年月日
      2016-11-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Plasma-activated medium and Cancer2016

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, H. Kondo, H. Hashizume, K. Nakamura, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, and M. Hori
    • 学会等名
      ICPM6
    • 発表場所
      Slovak University of Technology
    • 年月日
      2016-09-04
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15K13390
  • [学会発表] プラズマ活性培養液処理による乳がん細胞の殺傷および増殖の観察2016

    • 著者名/発表者名
      橋爪博司, 田中宏昌, 中村香江, 吉川史隆, 石川健治, 加納浩之, 水野正明, 堀勝
    • 学会等名
      第63回応用物理学会春季学術講演会
    • 発表場所
      東京工業大学 大岡山キャンパス
    • 年月日
      2016-03-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-activated medium and Cancer2016

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, H. Kondo, H. Hashizume, K. Nakamura, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, and M. Hori
    • 学会等名
      ICPM6
    • 発表場所
      Slovak University of Technology
    • 年月日
      2016-09-04
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H05430
  • [学会発表] Comparison of Inflammation after hemostasis with non-thermal plasma or thermal coagulation: A histological and nuclear medical evaluation2016

    • 著者名/発表者名
      M. Ueda, D.Yamagami, A.Morioka, K.Watanabe, K.Sano, A.Mori, H.Kimra, H.Saji, H.Sakakita, Y.Ikehara, K.Ishikawa, M.Hori, S.Enomoto
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] なぜプラズマは生体に作用するか2016

    • 著者名/発表者名
      石川健治
    • 学会等名
      第17回プラズマ医療 サイエンスの扉&サイエンスカフェ
    • 発表場所
      名古屋
    • 年月日
      2016-12-16
    • 招待講演
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Antitumor effect of Plasma-Activated-Medium (PAM) added with scavengers2016

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Sterilization efficiency enhancement by using magnet-assisted-plasma jet2016

    • 著者名/発表者名
      Chih-Tung Liu, Takumi Kumakura, Kenji Ishikawa, Hiroshi Hashizume, Keigo Takeda, Masafumi Ito, Masaru Hori, and Jong-Shinn Wu
    • 学会等名
      ISPlasma 2016/9th IC-PLANTS
    • 発表場所
      Nagoya University
    • 年月日
      2016-03-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Latent Chemistry in Plasma activated medium (PAM)2016

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      20th International Vacuum congress (IVC-20)
    • 発表場所
      Busan, Korea
    • 年月日
      2016-08-21
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Selective killing of human breast cancer cell by plasma-activated medium2016

    • 著者名/発表者名
      H.Hashizume, H.Tanaka, K.Nakaura, H.Kano, K.Ishikawa, F.Kikkawa, M.Mizuno, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Atomic oxygen behavior at downstream of AC excited atmospheric pressure He plasma jet2016

    • 著者名/発表者名
      Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • 学会等名
      69th Annual Gaseous Electronics Conference
    • 発表場所
      Bochum, Germany
    • 年月日
      2016-10-10
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Mechanisum of blood coagulation induced by plasma treatment in addition to the acceleration of the natural blood coagulation process2016

    • 著者名/発表者名
      Y.Ikehara, S.Ikehara, Y,.Akimoto, H.sakakita, K.Ishikawa, M.Ueda, J.Ikeda, J.Kim, Y.Yamaguchi, H.Nakanishi, T.Shimizu, N.Shimizu, M. Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性培養液(PAM)内活性酸素・窒素種の解析2016

    • 著者名/発表者名
      倉家尚之、田中宏昌、石川健治、橋爪博司、中村香江、梶山広明、吉川史隆、近藤隆、水野正明、竹田圭吾、近藤博基、関根誠、堀勝
    • 学会等名
      第63回応用物理学会春季学術講演会
    • 発表場所
      東京工業大学 大岡山キャンパス
    • 年月日
      2016-03-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Influence of ion bombardment on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2016

    • 著者名/発表者名
      H. Sugiura, L. Jia , H. Kondo, K. Ishikawa, K. Takeda, M Sekine, M. Hori
    • 学会等名
      The 3rd Korea-Japan Joint Symposium on Advanced Solar Cells
    • 発表場所
      Welli Hilli Park, Kangwon Province, Korea
    • 年月日
      2016-02-17
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Molecular vibrational imaging of plasma-induced biological samples2016

    • 著者名/発表者名
      R.Furuta, H.Hashizume, K.Ishikawa, H.Tanaka, T.Ohta, H.Kondo, M.Ito, M.Sekine, M.Hori
    • 学会等名
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • 発表場所
      Inuyama, Japan
    • 年月日
      2016-06-26
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性培養液中のHeLa細胞の動的観察2016

    • 著者名/発表者名
      古田 凌、橋爪博司、石川健治、田中宏昌、竹田圭吾、太田貴之、近藤博基、関根誠、堀勝
    • 学会等名
      第63回応用物理学会春季学術講演会
    • 発表場所
      東京工業大学 大岡山キャンパス
    • 年月日
      2016-03-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of activated species generated by non-equilibrium atmospheric pressure plasmas for plasma biomedical applications2016

    • 著者名/発表者名
      K.Ishikawa, K.Takeda, H. Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • 発表場所
      Inuyama, Japan
    • 年月日
      2016-06-26
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性培養液による抗腫瘍効果の制御とその細胞内分子機構2016

    • 著者名/発表者名
      田中宏昌、水野正明、石川健治、竹田圭吾、橋爪博司、中村香江、梶山広明、加納浩之、岡崎泰昌、豊國伸哉、吉川史隆、堀勝
    • 学会等名
      第63回応用物理学会春季学術講演会
    • 発表場所
      東京工業大学 大岡山キャンパス
    • 年月日
      2016-03-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma agriculture employing atmospheric-pressure oxygen plasma2016

    • 著者名/発表者名
      Hiroshi Hashizume, Takayuki Ohta, Masafumi ito, Keigo Takeda, Kenji Ishikawa, Masaru Hori
    • 学会等名
      sian International Workshop on Plasma Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-02-13
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] レーザー誘起プラズマによる培養液中の活性種生成と細胞応答2016

    • 著者名/発表者名
      黒川幸宏、倉家尚之、竹田圭吾、石川健治、橋爪博司、田中宏昌、近藤博基、関根誠、堀勝
    • 学会等名
      第77回応用物理学会秋季学術講演会
    • 発表場所
      朱鷺メッセ(新潟県新潟市)
    • 年月日
      2016-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electrochemical Durability of Pt-Supported Carbon Nanowalls Synthesized Using C2F6/H2 Mixture Plasma2016

    • 著者名/発表者名
      Shun Imai, Hiroki Kondo, Hyungjun Cho, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori
    • 学会等名
      2016 Materials Research Society Fall Meeting & Exhibit
    • 発表場所
      Boston, Massachusetts, United States
    • 年月日
      2016-11-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Plasma Activated Medium for Cancer Therapy2016

    • 著者名/発表者名
      M. Hori, H.Tanaka, K.Ishikawa, M.Mizuno, F. Kikkawa
    • 学会等名
      Energy Materials and Nanotechnology (EMN) Meeting on Biomaterials
    • 発表場所
      Phuket Thailand
    • 年月日
      2016-04-04
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-activated medium and cancer2016

    • 著者名/発表者名
      H.Tanaka, M.Mizuno, K.Ishikawa, K.Takeda, H.Kondo, H.Hashizume, K.Nakamura, H.Kajiyama, H.Kano, Y.Okazaki, S.Toyokuni, S.Maruyama, F.Kikkawa, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Latent Chemistry in Plasma activated medium (PAM)2016

    • 著者名/発表者名
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Hiroko Mizuno, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
    • 学会等名
      20th International Vacuum congress IVC-20
    • 発表場所
      Busan, Korea
    • 年月日
      2016-08-21
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Metabolic profiles on glioblastoma (U251SP) modified in plasma-activated medium (PAM) cultivation2016

    • 著者名/発表者名
      Kenji Ishikawa, N. Kurake, H. Tanaka, H. Hashizume, K. Takeda, K. Nakamura, H. Kajiyama, H. Kondo, M. Sekine, M. Kato, M. Mizuno, F. Kikkawa, M. Hori
    • 学会等名
      International Conference on Plasma Medicine (ICPM)
    • 発表場所
      Blatislava, Slovakia
    • 年月日
      2016-09-04
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Diagnostics of gas- and liquid-phase reactive species generated by AC excited atmospheric pressure Ar Plasma2016

    • 著者名/発表者名
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of activated species generated by non-equilibrium atmospheric pressure plasmas for plasma biomedical applications2016

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      International Workshop on Plasma Spectroscopy (IPS)
    • 発表場所
      Inuyama, Japan
    • 年月日
      2016-06-26
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Behaviors of OH radical generated by irradiation of AC excited atmospheric pressure Ar jet to medium2016

    • 著者名/発表者名
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M. Hori
    • 学会等名
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • 発表場所
      Inuyama, Japan
    • 年月日
      2016-06-26
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-activated Medium (PAM) and Metabolic Analysis of Glioblastoma (U251SP)2016

    • 著者名/発表者名
      Kenji Ishikawa, Naoyuki Kurake, Hiroshi Hashizume, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Takashi Kondo, Shoko Ohnuma, Masashi Kato, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Shinya Toyokuni, and Masaru Hori
    • 学会等名
      The 26th annual meeting of MRS-J
    • 発表場所
      Yokohama, Japan
    • 年月日
      2016-12-19
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Metabolic profiles on glioblastoma (U251SP) modified in plasma-activated medium (PAM) cultivation2016

    • 著者名/発表者名
      K.Ishikawa, N.Kurake, H.Tanaka, H.Hashizume, K.Takeda, K.Nakamura, H.Kajiyama, H.Kondo, M.Sekine, M.Kato, M.Mizuno, F.Kikkawa, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Study on crystallographic and electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2016

    • 著者名/発表者名
      L. Jia, H. Sugiura, H. Kondo, K. Takeda, K. Ishikawa, M. Sekine, M. Hori
    • 学会等名
      8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Effect of Plasma-treatment on the in vitro growth of Trypanosoma brucei2016

    • 著者名/発表者名
      N.Yokoyama, H.Sakakida, Y.Akimoto, T.Sivakumar, K.Ishikawa, M.Hori, Y.Ikehara
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性化溶液(PAM)培養した 脳腫瘍細胞(U251SP)の 代謝プロファイル2016

    • 著者名/発表者名
      石川健治, 倉家 尚之, 田中 宏昌, 橋爪 博司, 竹田 圭吾, 中村 香江, 梶山 広明, 近藤 博基, 関根 誠, 加藤 昌志, 水野 正明, 吉川 史隆, 堀 勝
    • 学会等名
      日本酸化ストレス学会学術集会
    • 発表場所
      仙台
    • 年月日
      2016-08-30
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Generation of Reactive Species In Medium Irradiated laser-Induced-Plasmas2016

    • 著者名/発表者名
      Y.Kurokawa, N.Kurake, K.takeda, K.Ishikawa, H.Hashizume, H.tnaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      AVS 63rd International Symposium & Exhibition
    • 発表場所
      Nashville, Tennessee, US
    • 年月日
      2016-11-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Generation of active species in laser-induced-plasma activated medium2016

    • 著者名/発表者名
      Y.Kurokawa, N.Kurake, K.Takeda, K.Ishikawa, H.Hashizume, H.Tanaka, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 酸素ラジカル照射によるリン酸緩衝生理的食塩水中の微生物不活性化効果2016

    • 著者名/発表者名
      小林剛士, 橋爪博司, 太田貴之, 石川健治, 堀勝, 伊藤昌文
    • 学会等名
      第63回応用物理学会春季学術講演会
    • 発表場所
      東京工業大学 大岡山キャンパス
    • 年月日
      2016-03-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Analyses of sensitivities to Plasma-activated medium on various cells2016

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Hiroki Kondo, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, Masaru Hori
    • 学会等名
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマが照射された液体中の活性種の分析2016

    • 著者名/発表者名
      石川健治
    • 学会等名
      プラズマ・核融合学会第33回年会
    • 発表場所
      仙台
    • 年月日
      2016-11-24
    • 招待講演
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Effects of fluorine termination on nanostructures and electrical proeprties of carbon nanowalls2016

    • 著者名/発表者名
      H. Cho, S. Tajima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori
    • 学会等名
      8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Effects of radicals and ions on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2016

    • 著者名/発表者名
      H. Sugiura, L. Jia, H. Kondo, K. Ishikawa, K. Takeda, M. Sekine, M. Hori
    • 学会等名
      8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma-Nano Technology & Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Particulates generation in the plasma activated medium (PAM)2016

    • 著者名/発表者名
      N.Kurake, H.Tanaka, K.Ishikawa, H.hashizume, K.Nakamura, H.Kajiyama, F.Kikkawa, T.Kondo, M.Mizuno, K.Takeda, H.Kondo, M.Sekine, M.Hori
    • 学会等名
      6th International Conference on Plasma Medicine
    • 発表場所
      Bratislava, Slovakia
    • 年月日
      2016-09-04
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] UV absorbance of DDW treated with oxygen radicals for inactivating Escherichia coli2016

    • 著者名/発表者名
      T.Kobayashi, J.Oh, H.Hashizume, T.Ohta, K.Ishikawa, M.Hori, M.Ito
    • 学会等名
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • 発表場所
      Inuyama, Japan
    • 年月日
      2016-06-26
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Behaviors of gas- and liquid-phase reactive oxygen species generated by AC excited atmospheric pressure He plasma2016

    • 著者名/発表者名
      K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, Y. Nakai, M. Sekine, M. Hori
    • 学会等名
      The Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications
    • 発表場所
      Eastin Tan Hotel, Chiang Mai, Thailand,
    • 年月日
      2016-02-22
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Unobtrusively Medical and Health Monitoring of Older Adults in Every-day Life Mobility with a Vehicle2016

    • 著者名/発表者名
      K.Ishikawa, S.Onoshima, H.Yukiwa, H.tanaka,H.Hashizume, Y.Baba, M.Hori, T.Hase, M.Kondo, Y.Hasegawa, M.Mizuno, N. Kihara, K.tatsukoshi, H.Odaka
    • 学会等名
      The 3rd International Conference on Univseal Village (UV2016)
    • 発表場所
      Nagoya, Japan
    • 年月日
      2016-10-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] INTRACELLULAR MOLECULAR MECHANISMS OF CANCER CELL DEATH USING PLASMA-ACTIVATED MEDIUM2016

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Tetsuo Adachi, Hiroki Kaneko, Hiroko Terasaki, Yasuhiro Kodera, Fumitaka Kikkawa, and Masaru Hori
    • 学会等名
      IWPCT2016
    • 発表場所
      Embassy Suites by Hilton Washington DC Convention Center
    • 年月日
      2016-04-11
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H05430
  • [学会発表] プラズマ照射培養液による乳がん細胞への選択的殺傷効果の検討2016

    • 著者名/発表者名
      橋爪博司, 田中宏昌, 中村香江, 吉川史隆, 石川健治, 加納浩之, 水野正明, 堀勝
    • 学会等名
      酸化ストレス学会東海支部 第4回学術集会
    • 発表場所
      鈴鹿医療科学大学 白子キャンパス
    • 年月日
      2016-02-06
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Impact of Synergism of nitrate and hydrogen Preroxide on cell survivals in plasma-activated-medium(PAM)2016

    • 著者名/発表者名
      N. Kurake, H. Tanaka, K. Ishikawa, K. Nakamura, H. Kajiyama, F. Kikkawa, T. Kondo, M. Mizuno, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      43rd IEEE International Conference on Plasma Science
    • 発表場所
      Banff, Alberta, Canada
    • 年月日
      2016-06-19
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 高速原子間力顕微鏡を用いたプラズマ活性培養液による脂質二重膜の形状変化の解析(Ⅱ)2016

    • 著者名/発表者名
      戸波卓也、倉家尚之、橋爪博司、近藤博基、石川健治、竹田圭吾、田中宏昌、関根誠、伊藤昌文、手老龍吾、堀勝
    • 学会等名
      第63回応用物理学会春季学術講演会
    • 発表場所
      東京工業大学 大岡山キャンパス
    • 年月日
      2016-03-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Gas flow effect on transport of O(3Pj) atoms in ac power excited atmospheric pressure O2/Ar Plasma jet2016

    • 著者名/発表者名
      K.Takeda, K.Ishikawa, H.Tanaka, M.Sekine, M.Hori
    • 学会等名
      7th International workshop on Plasma Spectroscopy (IPS 2016)
    • 発表場所
      Inuyama, Japan
    • 年月日
      2016-06-26
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma induced reactions on budding yeasts observed by multiplex coherent anti-Stokes Raman scattering (CARS)2016

    • 著者名/発表者名
      Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Measurement of reactive species in neutral-pH solutions treated with neutral oxygen radicals2016

    • 著者名/発表者名
      Tsuyoshi Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • 学会等名
      8th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 9th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya University, Aichi, Japan
    • 年月日
      2016-03-06
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-enhanced synthesis and chemical termination of carbon nanomaterials for application as cell culturing scaffold2016

    • 著者名/発表者名
      H. Sugiura, L. Jia, H. Kondo, H. Hashizume, K. Ishikawa, K. Takeda, M. Hiramatsu, M. Sekine, M. Hori
    • 学会等名
      The Asian Joint Committee International Workshop 2016 on Advanced Plasma Technology and Applications
    • 発表場所
      Eastin Tan Hotel, Chiang Mai, Thailand
    • 年月日
      2016-02-22
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] PLASMATREATMENT INDUCES BLOOD CLOT FORMATION2016

    • 著者名/発表者名
      Y. Ikehara, S. Ikehara, T. Yamaguchi, Y. Akimoto, H. Sakakita, J. Kim, K. Ishikawa M. Hori H. Nakanishi N. Shimizu
    • 学会等名
      43rd IEEE International Conference on Plasma Science
    • 発表場所
      Banff, Alberta, Canada
    • 年月日
      2016-06-19
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] INTRACELLULAR MOLECULAR MECHANISMS OF CANCER CELL DEATH USING PLASMA-ACTIVATED MEDIUM2016

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Tetsuo Adachi, Hiroki Kaneko, Hiroko Terasaki, Yasuhiro Kodera, Fumitaka Kikkawa, and Masaru Hori
    • 学会等名
      IWPCT2016
    • 発表場所
      Embassy Suites by Hilton Washington DC Convention Center
    • 年月日
      2016-04-11
    • 招待講演 / 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15K13390
  • [学会発表] Modification of chemical bonding structures and electrical properties of carbon nanowalls by Ar/F2 post-treatments2015

    • 著者名/発表者名
      H. Cho, S. Tajima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori
    • 学会等名
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Cellular And Molecular Responses Of Plasma-activated Medium Treated Cells2015

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, and Masaru Hori
    • 学会等名
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Jeju
    • 年月日
      2015-09-24
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15K13390
  • [学会発表] Nonlinear optical spectroscopic measurements of plasma-treated bio-surfaces2015

    • 著者名/発表者名
      Kenji Ishikawa, Ryo Furuta, K. Takeda, T. Ohta, H. Hashizume, H. Kondo, M. Ito, M. Sekine, and M. Hori
    • 学会等名
      第25回日本MRS年次大会 国際シンポジウムC-5
    • 発表場所
      横浜市開港記念会館、横浜情報文化センター
    • 年月日
      2015-12-08
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Cellular And Molecular Responses Of Plasma-activated Medium Treated Cells2015

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, Masaru Hori
    • 学会等名
      10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effects of ion bombardments on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2015

    • 著者名/発表者名
      H. Sugiura, L. Jia, H. Kondo, K. Ishikawa, K. Takeda, M. Sekine, M. Hori
    • 学会等名
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • 発表場所
      Hawaii Convention Center, Hawaii, USA
    • 年月日
      2015-10-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Effects of solutions treated with oxygen radicals in neutral pH region on inactivation of microorganism2015

    • 著者名/発表者名
      Tsuyoshi Kobayashi, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori and Masafumi Ito
    • 学会等名
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • 発表場所
      Hawaii Convention Center, Hawaii, USA
    • 年月日
      2015-10-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Surface Reactions Of Oxygen Species On Carbon Nanowalls2015

    • 著者名/発表者名
      H. Kondo, H. Shimoeda, K. Ishikawa, M. Sekine, M. Horiand, M. Hiramatsu
    • 学会等名
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Responses of cells in plasma-activated medium2015

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, and Masaru Hori
    • 学会等名
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • 発表場所
      Hawaii
    • 年月日
      2015-10-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15K13390
  • [学会発表] AC励起大気圧Arプラズマの培養液照射中における気相活性種の計測2015

    • 著者名/発表者名
      梁 思潔、竹田 圭吾、熊倉 匠、近藤 博基、石川 健治、関根 誠、堀 勝
    • 学会等名
      第62回応用物理学会春季学術講演会
    • 発表場所
      神奈川
    • 年月日
      2015-03-11
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Dependence of CCP power on crystallographic and electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2015

    • 著者名/発表者名
      H. Sugiura, L. Jia, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] 大気圧プラズマにおける酸素ラジカル密度の温度の影響2015

    • 著者名/発表者名
      野々山芳明、早川雅浩、高島成剛、柳原一輝、濱根剛、池戸俊之、神藤高広、竹田圭吾、石川健治、堀勝
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ活性培養液による乳がん細胞の選択的細胞死の解析2015

    • 著者名/発表者名
      橋爪博司, 田中宏昌, 中村香江, 吉川史隆, 石川健治, 加納浩之, 水野正明, 堀勝
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Reactive Species Generated in Liquids Treated with Neutral Oxygen Radicals2015

    • 著者名/発表者名
      Takuya Towatari, Hiroshi Hashizume, Takayuki Ohta, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • 学会等名
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya
    • 年月日
      2015-03-28
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-Activated-Medium(PAM)内酸窒化活性種生成機構2015

    • 著者名/発表者名
      倉家尚之, 田中宏昌, 石川健治, 中村香江, 梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基, 関根誠, 堀勝
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 非平衡大気圧プラズマ照射培養液中の酸窒化活性種の計測と抗腫瘍効果2015

    • 著者名/発表者名
      石川健治、倉家尚之、田中宏昌、近藤隆、水野寛子、橋爪博司、大沼章子、加藤昌志、中村香江、梶山広明、吉川史隆、水野正明、竹田圭吾、関根誠、堀勝
    • 学会等名
      第68回日本酸化ストレス学会学術集会
    • 発表場所
      かごしま県民交流センター
    • 年月日
      2015-06-11
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effects of ion irradiation on electronic properties of amorphous carbon films grown by plasma-enhanced chemical vapor deposition2015

    • 著者名/発表者名
      H. Sugiura , L. Jia , H. Kondo , K. Takeda , K. Ishikawa , M. Sekine , M. Hori
    • 学会等名
      37th International Symposium on Dry Process
    • 発表場所
      Awaji Yumebutai International Conference Center, Hyogo, Japan
    • 年月日
      2015-11-05
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] マルチプレックスコヒーレントアンチストークスラマン散乱(CARS)顕微鏡を用いたプラズマ誘起生体反応機構の解明2015

    • 著者名/発表者名
      古田 凌、野村 多加博、橋爪 博司、竹田 圭吾、近藤 博基、石川 健治、太田 貴之、伊藤 昌文、関根 誠、堀 勝
    • 学会等名
      第62回応用物理学会春季学術講演会
    • 発表場所
      神奈川
    • 年月日
      2015-03-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Ar/NO/F2ガスを用いたカーボンナノウォールの化学終端処理が表面微細構造および電気的特性に及ぼす効果2015

    • 著者名/発表者名
      趙亨峻、田嶋聡美、竹田圭吾、近藤博基、石川健治、関根誠、平松美根男、堀勝
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-17
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Effects of Plasma-Activated Medium on Surface Morphologies of Lipid Bilayers2015

    • 著者名/発表者名
      Takuya Tonami, Naoyuki Kurake, Hiroshi Hashizume, Hiroki Kondo, Kenji Ishikawa, Keigo Takeda, Hiromasa Tanaka, Makoto Sekine, Masaru Hori, Masafumi Ito, Ryugo Tero
    • 学会等名
      10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effects of Fluorine Termination of Carbon Nanowall Edges on Their Electrical Properties by Ar/NO/F Mixture Gas Treatments2015

    • 著者名/発表者名
      H. Cho, S. Tajima, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, M. Hiramatsu, M. Hori
    • 学会等名
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • 発表場所
      Hawaii Convention Center, Hawaii, USA
    • 年月日
      2015-10-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Hydrogen peroxide and nitrous ion generated in culture media by irradiation of non-equilibrium atmospheric pressure plasmas2015

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Sterilization efficiency of the Atmospheric Pressure Jet Entrained Ambient Air2015

    • 著者名/発表者名
      Chih-Tung Liu, Meng-Hua Hu, Kenji Ishikawa, Masaru Hori, J. S. Wu
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Antitumor effect of synergistic contribution of nitrite and hydrogen peroxide in the Plasma-Activated-Medium2015

    • 著者名/発表者名
      Naoyuki kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • 発表場所
      Hawaii Convention Center, Hawaii, USA
    • 年月日
      2015-10-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Oxidation mechanism of Penicillium digitatum spores through neutral oxygen radicals2015

    • 著者名/発表者名
      橋爪博司, 太田貴之, 竹田圭吾, 石川健治, 堀勝, 伊藤昌文
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effects of Radical Species on Crystallographic Properties of Amorphous Carbon Films Synthesized by Radical Injection Plasma Enhanced Chemical Vapor Deposition2015

    • 著者名/発表者名
      L. Jia, H. Sugiura, H. Kondo, K. Ishikawa, M. Sekine, M. Hori
    • 学会等名
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H02032
  • [学会発表] Inactivation Effects of Neutral-pH Solutions Treated with Oxygen Radicals2015

    • 著者名/発表者名
      Tsuyoshi Kobayashi, Takayuki Ohta, Masafumi Ito, Hiroshi Hashizume, Kenji Ishikawa, Masaru Hori
    • 学会等名
      The Joint Symposium of the 9th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology and the 28th Symposium on Plasma Science for Materials
    • 発表場所
      Nagasaki University, Japan
    • 年月日
      2015-12-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 乳腺由来細胞を用いたプラズマ照射培養液による選択的細胞死の検討2015

    • 著者名/発表者名
      橋爪 博司、田中 宏昌、中村 香江、吉川 史隆、石川 健治、加納 浩之、水野 正明、堀 勝
    • 学会等名
      第62回応用物理学会春季学術講演会
    • 発表場所
      神奈川
    • 年月日
      2015-03-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 高速液中原子間力顕微鏡を用いたプラズマ活性培養液による脂質二重膜の形状変化の解析2015

    • 著者名/発表者名
      戸波卓也, 倉家尚之, 橋爪博司, 近藤博基, 石川健治, 竹田圭吾, 田中宏昌, 関根 誠, 堀勝, 伊藤昌文, 手老龍吾
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 非平衡大気圧プラズマ照射培養液中の酸窒化活性種の計測と抗腫瘍効果2015

    • 著者名/発表者名
      石川 健治   倉家 尚之, 田中 宏昌, 近藤 隆, 水野 寛子, 大沼 章子, 加藤 昌志, 中村 香江, 梶山 広明, 竹田 圭吾, 近藤 博基, 関根 誠, 吉川 史隆, 水野 正明, 堀 勝
    • 学会等名
      第68回日本酸化ストレス学会学術集会
    • 発表場所
      鹿児島県民交流センター
    • 年月日
      2015-06-11
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Discharge Characteristics of Atmospheric RF Plasma Jet2015

    • 著者名/発表者名
      Giichiro Uchida, Kosuke Takenaka, Kazufumi Kawabata, Yuichi Setsuhara, Keigo Takeda, Kenji Ishikawa, Masaru Hori
    • 学会等名
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya
    • 年月日
      2015-03-30
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Responses of cells in plasma-activated medium2015

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, and Masaru Hori
    • 学会等名
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • 発表場所
      Hawaii
    • 年月日
      2015-10-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H05430
  • [学会発表] Cellular And Molecular Responses Of Plasma-activated Medium Treated Cells2015

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Fumitaka Kikkawa, and Masaru Hori
    • 学会等名
      The 10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Jeju
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-15H05430
  • [学会発表] Chemical analysis of plasma-activated medium (PAM)2015

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      COST TD1208 2nd annual meeting - Electrical discharge with liquilds for future applications
    • 発表場所
      Barcelona, Spain
    • 年月日
      2015-02-25
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-Surface Interactions Analyzed by Vibrational Sum-Frequency Generation (SFG)2015

    • 著者名/発表者名
      Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya
    • 年月日
      2015-03-27
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of AC excited Atmospheric Pressure Ar Plasma Jet with Laser Scattering spectroscopy2015

    • 著者名/発表者名
      K. Takeda, F. Jia, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      17th International Symposium on Laser-Aided Plasma Diagnostics
    • 発表場所
      Gateaux Kingdom Sapporo, Hokkaido, Japan
    • 年月日
      2015-09-27
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Investigation of selective induction of breast cancer cells to death with treatment of plasma-activated medium2015

    • 著者名/発表者名
      Hiroshi Hashizume,, Hiromasa Tanaka,, Kae Nakamura, Hiroyuki Kano, Kenji Ishikawa, Fumitaka Kikkawa, Masaaki Mizuno, Masaru Hori
    • 学会等名
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • 発表場所
      Hawaii Convention Center, Hawaii, USA
    • 年月日
      2015-10-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] AC励起非平衡大気圧Arプラズマジェットによる活性種の生成機構2015

    • 著者名/発表者名
      竹田圭吾、梁思潔、熊倉匠、石川健治、田中宏昌、関根誠、堀勝
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Nonlinear Optical Spectroscopic Observation of Plasma-Treated Bio- Specimen2015

    • 著者名/発表者名
      Kenji Ishikawa, Ryo Furuta, K. Takeda, T. Ohta, H. Hashizume, H. Kondo, M. Ito, M. Sekine, and M. Hori
    • 学会等名
      International Symposimum on American Vacuum Scoiety (AVS)
    • 発表場所
      San Jose, CA, USA
    • 年月日
      2015-10-18
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Changes in Mass-Spectra of Arginine by Atmospheric Pressure Plasma Treatment2015

    • 著者名/発表者名
      Hidehiko Fujita, Takayuki Ohta, Kenji Ishikawa, Keigo Takeda, Masaru Hori
    • 学会等名
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya
    • 年月日
      2015-03-26
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 医療・バイオ応用に向けたAC励起非平衡大気圧Heプラズマジェットの気相診断2015

    • 著者名/発表者名
      熊倉匠,竹田圭吾,石川健治,田中宏昌,近藤博基,加納浩之,中井義浩,関根誠,堀勝
    • 学会等名
      第76回応用物理学会秋季学術講演会
    • 発表場所
      名古屋国際会議場
    • 年月日
      2015-09-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 大気圧プラズマ活性培養液の抗腫瘍効果作用機構の解析2015

    • 著者名/発表者名
      倉家 尚之、田中 宏昌、石川 健治、中村 香江、梶山 広明、吉川 史隆、近藤 隆、水野 正明、竹田 圭吾、近藤 博基、関根 誠、堀 勝
    • 学会等名
      第62回応用物理学会春季学術講演会
    • 発表場所
      神奈川
    • 年月日
      2015-03-13
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Application of plasma irradiation to skin tumor spontaneously developed in RET-transgenic mice2015

    • 著者名/発表者名
      Masashi KATO, Machiko IIDA, Ichiro YAJIMA, Yasuhiro OMATA, Kenji ISHIKAWA, Masaru HORI
    • 学会等名
      The 2nd International Workshop on Plasma for Cancer Treatment
    • 発表場所
      Nagoya
    • 年月日
      2015-03-16
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of AC Excited Atmospheric Pressure He Plasma Jet With Vacuum Ultraviolet Absorption Spectroscopy2015

    • 著者名/発表者名
      Masaru Hori, Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine
    • 学会等名
      10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] O Atom Density at Downstream of a High Density Atmospheric Pressure Plasma Source2015

    • 著者名/発表者名
      Masaru Hori, Takumi Kumakura, Keigo Taked, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Hiroki Kondo, Makoto Sekine
    • 学会等名
      The Joint Symposium of the 9th Asia-Pacific International Symposium on the Basics and Applications of Plasma Technology and the 28th Symposium on Plasma Science for Materials
    • 発表場所
      Nagasaki University, Japan
    • 年月日
      2015-12-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Multiplex Coherent Anti-Stokes Raman Scattering Microscopic Observation Of Plasma-induced Budding Yeast2015

    • 著者名/発表者名
      Ryo Furuta, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori
    • 学会等名
      10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effect of Ambient Air on Reactive Species Generation in Atmospheric Pressure Ar Plasma Jet2015

    • 著者名/発表者名
      Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • 学会等名
      10th Asian-European International Conference On Plasma Surface Engineering
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju Island, Korea
    • 年月日
      2015-09-20
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 医療バイオ応用プラズマ2015

    • 著者名/発表者名
      石川健治
    • 学会等名
      第26回プラズマエレクトロニクス講習会
    • 発表場所
      東京大学武田先端知
    • 年月日
      2015-12-20
    • 招待講演
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] プラズマ活性培養液による乳腺由来細胞のがん細胞選択的な細胞死の誘導2015

    • 著者名/発表者名
      橋爪博司, 田中宏昌, 中村香江, 吉川史隆, 石川健治, 加納浩之, 水野正明, 堀勝
    • 学会等名
      第68回日本酸化ストレス学会学術集会
    • 発表場所
      かごしま県民交流センター
    • 年月日
      2015-06-11
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Interaction of AC excited Atmospheric Pressure Ar Plasma Jet with Medium2015

    • 著者名/発表者名
      Keigo Takeda, Sijie Liang, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Makoto Sekine, Masaru Hori
    • 学会等名
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • 発表場所
      Hawaii Convention Center, Hawaii, USA
    • 年月日
      2015-10-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Chemical Analysis of Antitumor Effect of Plasma-Activated-Medium2015

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiriaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya
    • 年月日
      2015-03-28
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Responses of cells in plasma-activated medium2015

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Yasuhiro Kodera, Hiroko Terasaki, Tetsuo Adachi, Masashi Kato, Fumitaka Kikkawa, Masaru Hori
    • 学会等名
      68th Annual Gaseous Electronics Conference/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing
    • 発表場所
      Hawaii Convention Center, Hawaii, USA
    • 年月日
      2015-10-12
    • 国際共著/国際学会である
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 大気圧Ar プラズマによる細胞内外の活性酸素生成-EPR スピン捕捉法およびフローサイトメトリーによる検討-2014

    • 著者名/発表者名
      近藤隆, 内山英史, 趙慶利, 能島信行, 竹田圭吾, 石川健治, 堀勝
    • 学会等名
      第67回日本酸化ストレス学会学術集会
    • 発表場所
      京都
    • 年月日
      2014-09-04
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of intercellular signaling systems of glioblastoma brain tumor cells treated with plasma-activated medium2014

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-23
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma Induced Surface Roughness of Polymeric Materials2014

    • 著者名/発表者名
      K. Ishikawa, T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics
    • 発表場所
      Fukuoka
    • 招待講演
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Plasma Induced Surface Roughness of Polymeric Materials2014

    • 著者名/発表者名
      K. Ishikawa (Invited), T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics
    • 発表場所
      Fukuoka Japan
    • 招待講演
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] ウシ血清アルブミン(BSA)の非平衡大気圧プラズマ照射による質量分析スペクトルの変化2014

    • 著者名/発表者名
      藤田英彦,太田貴之,石川健治,竹田圭吾,堀勝
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      北海道
    • 年月日
      2014-09-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] The Effect of Neutral Species on Modification of the A549 andSaos-2 Growth and Proliferation2014

    • 著者名/発表者名
      Satomi Tajima, Hiroshi Hashizume, Masafumi Ito, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, and Masaru Hori
    • 学会等名
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • 発表場所
      Nara
    • 年月日
      2014-05-23
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Ultra high density atmospheric pressure plasma source2014

    • 著者名/発表者名
      Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The International Symposium on Plasma-Nano Materials and Processes
    • 発表場所
      Seoul, Korea
    • 年月日
      2014-04-03
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron Spin Resonance Study of Plasma Activated Media (PAM)2014

    • 著者名/発表者名
      M. Hori, N. Kurake, K. Ishikawa, H. Tanaka, T. Kondo, K. Nakamura, H. Kajiyama, F. Kikkawa, M. Mizuno, K. Takeda, H. Kondo and M. Sekine
    • 学会等名
      2014 Material Research Society Fall Meeting & Exhibit
    • 発表場所
      Boston, USA
    • 年月日
      2014-12-03
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-activated medium downregulated signaling pathways that control proliferation and survival in glioblastoma brain tumor cells2014

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori
    • 学会等名
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • 発表場所
      Meijo University, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Mass-Spectral Changes of Protein Treated by Non-Equilibrium Atmospheric Pressure Plasma2014

    • 著者名/発表者名
      Takayuki Ohta, Hidehiko Fujita, Kenji Ishikawa, Keigo Takeda, and Masaru Hori
    • 学会等名
      2014 Material Research Society Fall Meeting & Exhibit
    • 発表場所
      Boston, USA
    • 年月日
      2014-12-03
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] INTRACELLULAR MOLECULAR MECHANISMS OF APOPTOSIS IN CANCER CELLS BY PLASMA-ACTIVATED MEDIUM2014

    • 著者名/発表者名
      Hiromasa Tanaka (Invited), Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori
    • 学会等名
      The 1st International Workshop on Plasma for Cancer Treatment
    • 発表場所
      Washington D.C., USA
    • 招待講演
    • データソース
      KAKENHI-PROJECT-25870307
  • [学会発表] Electron spin resonance study of radical generation during non-thermal plasma blood coagulation2014

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      17th Biennial Meeting of Society for Free Radical Research International.
    • 発表場所
      Kyoto Japan
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas2014

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-23
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-activated medium downregulated signaling pathways that control proliferation and survival in glioblastoma brain tumor cells.2014

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori
    • 学会等名
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • 発表場所
      Nagoya, Japan
    • データソース
      KAKENHI-PROJECT-25870307
  • [学会発表] 非平衡大気圧プラズマによるアルテミアの成長への影響に関する研究2014

    • 著者名/発表者名
      熊倉匠, 竹田圭吾, 田中宏昌, 秋山真一, 石川健治, 近藤博基, 関根誠, 堀勝
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      北海道
    • 年月日
      2014-09-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 大気圧プラズマ照射培養液の抗腫瘍効果作用機序の解析2014

    • 著者名/発表者名
      倉家尚之, 田中宏昌,石川健治,中村香江,梶山広明, 吉川史隆, 近藤隆, 水野正明, 竹田圭吾, 近藤博基,関根誠, 堀勝
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      北海道
    • 年月日
      2014-09-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Characteristics of reactive particle production in atmospheric pressure DBD plasma jet2014

    • 著者名/発表者名
      Giichiro Uchida, Keisuke Takenaka, A. Miyazaki, K. Kawabata, Yuichi Setsuhara, Keigo Takeda, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析2014

    • 著者名/発表者名
      石川健治, 倉家尚之, 田中宏昌, 中村香江, 近藤隆, 梶山広明, 吉川史隆, 水野正明, 竹田圭吾,近藤博基, 関根誠, 堀勝
    • 学会等名
      第67回日本酸化ストレス学会学術集会
    • 発表場所
      京都
    • 年月日
      2014-09-04
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Analyses of intracellular molecular mechanisms of cancer cell death in plasma-activated solutions2014

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori
    • 学会等名
      2014 Material Research Society Fall Meeting & Exhibit
    • 発表場所
      Boston, USA
    • 年月日
      2014-12-03
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma nano-interface with organic materials for surface-roughness formation2014

    • 著者名/発表者名
      M. Sekine (Invited), Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori
    • 学会等名
      The 9th EU-Japan Joint Symposium on Plasma Processing
    • 発表場所
      Bohinj Park ECO Hotel, Bohinjska Bistrica, Slovenia
    • 招待講演
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] Quantitative evaluation of the inactivation process of P. digitatumspores on the basis of dose of ground-state atomic oxygen2014

    • 著者名/発表者名
      Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • 学会等名
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • 発表場所
      Nara
    • 年月日
      2014-05-23
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] がん治療に向けたプラズマ照射溶液の研究開発2014

    • 著者名/発表者名
      堀 勝、水野 正明、吉川 史隆、梶山 広明、内海 史、中村 香江、石川 健治、竹田 圭吾、田中 宏昌、加納 浩之
    • 学会等名
      中部地区 医療・バイオシーズ発表会
    • 発表場所
      名古屋
    • 年月日
      2014-12-10
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas2014

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 8th International Conference on Reactive Plasmas (ICRP-8) at Fukuoka, February 2-6, 2014 (Fukuoka, Japan)
    • 発表場所
      Fukuoka Japan
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Frequency Dependence of Atmospheric-Pressure Discharge Generation2014

    • 著者名/発表者名
      Yuichi Setsuhara, Giichiro Uchida, K. Kawabata, K. Takenaka, Keigo Takeda, Kenji Ishikawa, and M. Hori
    • 学会等名
      2014 Material Research Society Fall Meeting & Exhibit
    • 発表場所
      Boston, USA
    • 年月日
      2014-12-04
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Behaviors of activated species in SiH4/H2 plasma for µc-Si:H thin film deposition2014

    • 著者名/発表者名
      K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      18th Korea - Japan Workshop on Advanced Plasma Processes and Diagnostics
    • 発表場所
      Fukuoka
    • 招待講演
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Free radical generation by cold atmospheric argon plasma in aqueous solutions. An ESR spin trapping study2014

    • 著者名/発表者名
      Hidefumi Uchiyama, Zhao Qing-Li, Nobuyuki Nojima, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Takashi Kondo
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 電子スピン共鳴法による中性酸素ラジカル照射溶液の解析2014

    • 著者名/発表者名
      唐渡卓也,橋爪博司,太田貴之,石川健治,堀勝,伊藤昌文
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      北海道
    • 年月日
      2014-09-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Development of Inactivation Technology asusing Plasma for Freshness-keeping on Post-harvest Management2014

    • 著者名/発表者名
      Takayuki Ohta, Hiroshi Hashizume, Keigo Takeda, Kenji Ishikawa, Masaru Hori, Masafumi Ito
    • 学会等名
      E-MRS and MRS-J Joint Symposium, Frontier of Nano-Materials Bed on Advanced Plasma Technologies
    • 発表場所
      Yokohama
    • 年月日
      2014-12-11
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Microscopic observation of inactivation process of fungal spores treated with oxygen radicals produced from an atmospheric-pressure plasma2014

    • 著者名/発表者名
      H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • 学会等名
      17th Biennial Meeting of Society for Free Radical Research International
    • 発表場所
      Kyoto International Conference Center, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] カーボンナノウォールの結晶構造に対するラジカル酸化効果(III)2014

    • 著者名/発表者名
      近藤博基,下枝弘尚,石川健治,平松美根男,関根誠,堀勝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Electron Spin Resonance Study of Plasma-Liquid Medium Interactions2014

    • 著者名/発表者名
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka kikkawa, Masaaki Mizono, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • 発表場所
      Nara
    • 年月日
      2014-05-23
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Behaviors of atmospheric pressure discharge and its interaction with soft materials as a basis for plasma medicine2014

    • 著者名/発表者名
      Yuichi Setsuhara, Giichiro Uchida, Keisuke Takenaka, A. Miyazaki, K. Kawabata, Keigo Takeda, Kenji Ishikawa, and Masaru Hori
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-Biological Surface Interaction for Food Hygiene2014

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      E-MRS and MRS-J Joint Symposium, Frontier of Nano-Materials Bed on Advanced Plasma Technologies
    • 発表場所
      Yokohama
    • 年月日
      2014-12-11
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron Spin Resonance Study of Plasma-Activated-Medium2014

    • 著者名/発表者名
      Kenji Ishikawa, Naoyuki Kurake, Hiromasa Tanaka, Takashi Kondo, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-17
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of AC power excited non-equilibrium atmospheric pressure plasma jet with vacuum ultraviolet spectroscopy2014

    • 著者名/発表者名
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, Y. Higashijima, M. Sekine, M. Hori
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      北海道
    • 年月日
      2014-09-17
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ誘起バイオマテリアルの表面反応とプラズマ医療への展開2014

    • 著者名/発表者名
      石川健治,田中宏昌,橋爪博司,竹田圭吾,近藤博基,関根誠,堀勝
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      札幌
    • 年月日
      2014-09-18
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Control of Edge and Surface Oxidation of Carbon Nanowalls by Argon Ion Irradiation2014

    • 著者名/発表者名
      H. Shimoeda, H. Kondo, K. Takeda, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori
    • 学会等名
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials
    • 発表場所
      Meijo Univ.
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Fluoescent analysis of inactivation mechanism of P. digitatum spores treated with oxygen radicals2014

    • 著者名/発表者名
      H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • 学会等名
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • 発表場所
      Meijo University, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Signaling circuits that are affected by plasma-activated medium in brain tumor cells2014

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, and Masaru Hori
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 大気圧プラズマを用いたがん治療とその細胞内分子機構の解明2014

    • 著者名/発表者名
      田中 宏昌, 水野 正明, 石川 健治, 竹田 圭吾, 橋爪博司, 中村 香江, 内海 史, 梶山 広明, 加納 浩之, 岡崎 泰昌, 豊國 伸哉,丸山 彰一,小寺 泰弘, 吉川 史隆, 堀 勝
    • 学会等名
      第37回日本分子生物学会年会
    • 発表場所
      横浜
    • 年月日
      2014-11-26
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Experimental study of Plasma-Liquid Interaction プラズマ照射液相の実験的解析2014

    • 著者名/発表者名
      石川健治
    • 学会等名
      PLASMA CONFERENCE 2014
    • 発表場所
      Niigata
    • 年月日
      2014-11-20
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron spin resonance study of radical generation during non-thermal plasma blood coagulation2014

    • 著者名/発表者名
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      17th Biennial Meeting of Society for Free Radical Research International
    • 発表場所
      Kyoto International Conference Center, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma nano-interface with organic materials for surface-roughness formation2014

    • 著者名/発表者名
      M. Sekine, Y. Zhang, K. Ishikawa, K. Takeda, H. Kondo, M. Hori
    • 学会等名
      The 9th EU-Japan Joint Symposium on Plasma Processing, Bohinj Park ECO Hotel
    • 発表場所
      Bohinjska Bistrica, Slovenia
    • 招待講演
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Discharge Properties and Radical-Generation Chracteristics in DBD Plasma Jet2014

    • 著者名/発表者名
      Giichiro Uchida, Kosuke Takenaka, Kazufumi Kawabata, Atsushi Miyazaki, Yuichi Setsuhara, Keigo Takeda, Kenji Ishikawa, Masaru Hori
    • 学会等名
      14th International Conference on Plasma Surface Engineering (PSE2014)
    • 発表場所
      Germany
    • 年月日
      2014-09-15
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Histological comparison of the wound healing process between non-thermal plasma homostatis and thermal coagulation hemostasis2014

    • 著者名/発表者名
      Daiki Yamagami, Masashi Ueda, Shinichiro Kamino, Keiko Watanabe, Masaru Hori, Kenji Ishikawa, Yuzuru Ikehara, Hajime Sakakita, and Shuichi Enomoto
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas2014

    • 著者名/発表者名
      Kenji Ishikawa, et al
    • 学会等名
      International workshop on control of fluctuation of plasma processes - Joint International Workshop between "Frontier science of interactions between plasmas and nano-interfaces" and "Plasma medical innovation"
    • 発表場所
      福岡(3B-WS-07)
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Effect of Ambient Air Engulfment on Generation of Activated Species in 60 Hz Non-Equilibrium Atmospheric Pressure Ar Plasma Jet2014

    • 著者名/発表者名
      S. Liang, T. Tsutsumi, A. Ando, K. Sun, K. Takeda, H. Kondo, K. Ishikawa, H. Kano, M. Sekine, M. Hori
    • 学会等名
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • 発表場所
      Meijo University, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Molecular Structure of Budding Yeast Cell Measured by Multiplex Coherent Anti-Stokes Raman Scattering Microspectroscopy2014

    • 著者名/発表者名
      Takayuki Ohta, Hiroshi Hashizume, Kenji Ishikawa, Keigo Takeda, Masafumi Ito, Masaru Hori
    • 学会等名
      2014 Material Research Society Fall Meeting & Exhibit
    • 発表場所
      Boston, USA
    • 年月日
      2014-12-03
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Inactivation process of P. digitatum spores evaluated by dose of ground-state atomic oxygen2014

    • 著者名/発表者名
      Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] DAWING OF PLASMA LIFE SCIENCES ~ DIAGNOSTICS AND CONTROL OF REACTIVE SPECIES IN PLASMA BIO PROCESSING ~2014

    • 著者名/発表者名
      M. Hori, M. Tanaka, K. Ishikawa, H. Kondo, K. Takeda, M. Sekine, F. Kikkawa, K. Nakamura, H. kajiyama and M. Mizuno
    • 学会等名
      The 4th International Symposium for Plasma Biosciences
    • 発表場所
      Delpino Resort, Sokcho, Gangwon-do, Korea
    • 年月日
      2014-08-18
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of reactive species on bio-liquid treatment with AC power excited non-equilibrium atmospheric pressure Ar plasma2014

    • 著者名/発表者名
      Sijie Liang, Takumi Kumakura, Keigo Takeda, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Masaru Hori
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      北海道
    • 年月日
      2014-09-17
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Characteristics of AC excited non-equilibrium atmospheric pressure helium plasma jet for medical application2014

    • 著者名/発表者名
      Keigo Takeda, Takumi Kumakura, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Nanostructural observation of P. digitatum spores on the inactivation process with oxygen radical treatment2014

    • 著者名/発表者名
      H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • 学会等名
      6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials / 7th International Conference on Plasma-Nano Technology & Science
    • 発表場所
      Meijo University, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Inactivation of microorganism in liquid treated with neutral reactive oxygen species2014

    • 著者名/発表者名
      Takuya Towatari, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara
    • 年月日
      2014-05-20
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells2014

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori
    • 学会等名
      8th International Conference on Reactive Plasmas 31st Symposium on Plasma Processings
    • 発表場所
      Fukuoka, Japan
    • データソース
      KAKENHI-PROJECT-25870307
  • [学会発表] Electron spin resonance analyses of plasma-biological material interactions in atmospheric pressure plasmas2014

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      International workshop on control of fluctuation of plasma processes - Joint International Workshop
    • 発表場所
      Fukuoka Japan
    • 招待講演
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] ミドリカビ胞子からの実時間その場ESR信号観察2013

    • 著者名/発表者名
      石川 健治,水野 寛子,田中 宏昌,橋爪 博司,太田 貴之, 伊藤 昌文,竹田 圭吾,近藤 博基,関根 誠,堀 勝
    • 学会等名
      第66回日本酸化ストレス学会学術集会
    • 発表場所
      名古屋 日本
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Measurement of actived species generated by AC power excited non-equilibrium atmospheric pressure Ar plasma jet with Air engulfment2013

    • 著者名/発表者名
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori
    • 学会等名
      66th Annual Gaseous Electronic Conference
    • 発表場所
      Westin Hotel Princeton, USA
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Advanced Surface Engineering on Material Processing Employing Ultrahigh Density Atmospheric Pressure Plasma2013

    • 著者名/発表者名
      M. Hori, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine
    • 学会等名
      The 1st International Conference on Surface Engineering
    • 発表場所
      Busan, Korea
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] In-situ ESR measurements for plasma materials interactions2013

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      9th Asian-European International Conference on Plasma Surface Engineering
    • 発表場所
      Jeju, Korea
    • 招待講演
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Rapid precise measurements of film-covered-substrate temperatures during plasma processes2013

    • 著者名/発表者名
      M. Ito, T. Tsutsumi, T. Ohta, K. Takeda, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      The 1st International Conference on Surface Engineering
    • 発表場所
      Busan, Korea
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Gas phase diagnostics of atmospheric oxygen radical sourse on inactivation of P. digitatum spores2013

    • 著者名/発表者名
      T. Ohta, H. Hashizume, M. Ito, T. Takeda, K. Ishikawa, M. Hori
    • 学会等名
      8th Asia-Pacfic International Symposium on the Basics and Applications of Plasma Technology
    • 発表場所
      Hsinchu, Taiwan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Cancer therapy using atmospheric pressure plasma2013

    • 著者名/発表者名
      Hiromasa Tanaka (Invited), Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama, Fumitaka Kikkawa, Masaru Hori
    • 学会等名
      NU Tech @ NCSU
    • 発表場所
      North Carolina, USA
    • 招待講演
    • データソース
      KAKENHI-PROJECT-25870307
  • [学会発表] 低温酸素プラズマを用いたミドリカビ胞子の殺菌2013

    • 著者名/発表者名
      太田貴之、橋爪博司、伊藤昌文、石川健治、竹田圭吾、堀 勝
    • 学会等名
      第66回日本酸化ストレス学会学術集会
    • 発表場所
      WINCあいち
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Gradual transition of chemical structures at initial growth stage of carbon nanowalls2013

    • 著者名/発表者名
      H. Kondo, K. Yasuda, K. Ishikawa, M. Sekine, M. Hiramatsu, and M. Hori
    • 学会等名
      The European Materials Conference European Materials Research Society Fall Meeting Scientific/Technical Symposia & Exhibition
    • 発表場所
      Warsaw University of Technology, Warsaw, Poland
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] カーボンナノウォールに対する酸素原子及びアルゴンイオンの同時照射効果2013

    • 著者名/発表者名
      下枝弘尚,近藤博基,石川健治,平松美根男,関根誠,堀勝
    • 学会等名
      平成25年秋季第74回応用物理学会学術講演会
    • 発表場所
      同志社大学京田辺キャンパス
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Plasma Induced Surface Roughness of ArF Photoresist Examined by Plasma-Beam Processes2013

    • 著者名/発表者名
      T. Takeuchi, Y. Zhang, K. Ishikawa, M. Sekine, Y. Setsuhara, K. Takeda, H. Kondo, M. Hori
    • 学会等名
      AVS 60th International Symposium & Exhibition
    • 発表場所
      Long Beach, California, USA
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] Reaction mechanism between cell membranes of P. digitatum spores and oxygen radicals2013

    • 著者名/発表者名
      M. Ito, H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori
    • 学会等名
      66th Annual Gaseous Electronic Conference
    • 発表場所
      Westin Hotel Princeton, USA
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-activated medium induced apoptosis on glioblastoma brain tumor cells by inhibiting growth/survival signaling.2013

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori
    • 学会等名
      The 21st International Symposium on Plasma Chemistry
    • 発表場所
      Cairns, Australia
    • データソース
      KAKENHI-PROJECT-25870307
  • [学会発表] Electron spin resonance study of plasma-biological surface interaction for food hygiene2013

    • 著者名/発表者名
      Kenji Ishikawa, et al
    • 学会等名
      The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma)
    • 発表場所
      名古屋(P3025A)
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] 卵巣癌細胞におけるプラズマの抗腫瘍効果とROSの関与2013

    • 著者名/発表者名
      中村香江、梶山広明、内海 史、田中宏昌、水野正明、石川健治、近藤博基、加納浩之、堀 勝、吉川史隆
    • 学会等名
      第66回日本酸化ストレス学会学術集会
    • 発表場所
      WINCあいち
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-activated medium induced apoptosis on glioblastoma brain tumor cells by inhibiting growth/survival signaling2013

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori
    • 学会等名
      21th International Symposium on Plasma Chemistry
    • 発表場所
      Cairns Convention Centre, Australia
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] カーボンナノウォールの表面化学修飾とナノバイオ応用2013

    • 著者名/発表者名
      近藤博基,渡邊均,石川健治,関根誠,堀勝,平松美根男
    • 学会等名
      平成25年秋季第74回応用物理学会学術講演会
    • 発表場所
      同志社大学京田辺キャンパス
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] 酸素ラジカルの密度測定に基づいた真菌胞子のプラズマ殺菌2013

    • 著者名/発表者名
      橋爪博司、太田貴之、竹田圭吾、石川健治、堀 勝、伊藤昌文
    • 学会等名
      電気学会プラズマ研究会
    • 発表場所
      名城大学名駅サテライト
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-activated medium induced apoptosis on tumor cells2013

    • 著者名/発表者名
      M. Hori, H. Tanaka, M. Mizuno, K. Nakamura, H. Kajiyama, K. Takeda, K. Ishikawa, H. Kano, F. Kikkawa
    • 学会等名
      66th Annual Gaseous Electronic Conference
    • 発表場所
      Westin Hotel Princeton, USA
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] An Inhibition Mechanism for Surface Roughening of Photoresist During Plasma Etching Process with Plasma Cure2013

    • 著者名/発表者名
      Yan Zhang, Takuya Takeuchi, Hiroki Nagano, Kenji Ishikawa, Makoto Sekine, Keigo Takeda, Hiroki Kondo, Masaru Hori
    • 学会等名
      第74回応用物理学会秋季学術講演会
    • 発表場所
      Kyoto Japan
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] Chemical analyses of edible meat irradiated atmospheric-pressure-plasmas2013

    • 著者名/発表者名
      Kenji Ishikawa, Hiroko Mizuno, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine and Masaru Hori
    • 学会等名
      2013 JSAP-MRS Joint Symposia
    • 発表場所
      Kyoro Japan
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Electron spin resonance study of plasma-biological surface interaction for food hygiene2013

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials
    • 発表場所
      名古屋大学東山キャンパス, 名古屋市
    • 年月日
      2013-01-28
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-activated medium downregulated a survival and proliferation signaling molecule, AKT kinase in glioma brain tumor cells.2013

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Nakamura, H. Kajiyama, H. Kano, F. Kikkawa, M. Hori
    • 学会等名
      2013 Japan Society of Applied Physics &#8211; Material Research Society Joint Symposia
    • 発表場所
      Kyoto, Japan
    • データソース
      KAKENHI-PROJECT-25870307
  • [学会発表] 非平衡大気圧プラズマより発生する活性酸素種の数密度測定とミドリカビ胞子の殺菌効果の評価2013

    • 著者名/発表者名
      橋爪博司、太田貴之、賈 鳳東、竹田圭吾、石川健治、堀 勝、伊藤昌文
    • 学会等名
      第66回日本酸化ストレス学会学術集会
    • 発表場所
      WINCあいち
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] In-situ fluorescent observation of oxidation of P. digitatum spores treated by neutral oxygen radicals2013

    • 著者名/発表者名
      H. Hashizume, T. Towatari, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • 学会等名
      第26回プラズマ材料科学シンポジウム(SPSM26)
    • 発表場所
      九州大学
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Identification of ESR signals arisen from Penicillium digitatum spores-32013

    • 著者名/発表者名
      Hiroko Mizuno, Kenji Ishikawa, Hiromasa Tanaka, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      第60回応用物理学会春季学術講演会
    • 発表場所
      神奈川県, Japan
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] ミドリカビ胞子からの実時間その場ESR信号観察2013

    • 著者名/発表者名
      石川健治、水野寛子、田中宏昌、橋爪博司、太田貴之、伊藤昌文、竹田圭吾、近藤博基、関根 誠、堀 勝
    • 学会等名
      第66回日本酸化ストレス学会学術集会
    • 発表場所
      WINCあいち
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] In-situ ESR measurements for plasma materials interactions2013

    • 著者名/発表者名
      Kenji Ishikawa, et al
    • 学会等名
      The 9th Asian-European International Conference on Plasma Surface Engineering (AEPSE)
    • 発表場所
      韓国(23-1)
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] In-situ ESR measurements for Plasma Materials Interractions2013

    • 著者名/発表者名
      K. Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, and M. Hori
    • 学会等名
      9th Asian-european International Conference On Plasma Surface Enginnering
    • 発表場所
      Ramada Plaza Jeju Hotel, Korea
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-biological surface interaction investigated by electron spin resonance2013

    • 著者名/発表者名
      H. Mizuno, Kenji Ishikawa, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), P3027A
    • 発表場所
      Nagoya, Japan
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Electron spin resonance study of plasma-biological surface interaction for food hygiene2013

    • 著者名/発表者名
      Kenji Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      The 5th International Symposium on Advanced Plasma Science and its applications for nitrides and nanomaterials (ISPlasma), P3025A
    • 発表場所
      Nagoya, Japan
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究2013

    • 著者名/発表者名
      石川健治,鷲見直也,河野昭彦,堀邊英夫,竹田圭吾,近藤博基,関根誠,堀勝
    • 学会等名
      第74回応用物理学会秋季学術講演会
    • 発表場所
      京都 日本
    • 招待講演
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Identification of ESR signals arisen from Penicillium digitatum spores-22012

    • 著者名/発表者名
      Hiroko Mizuno,Hiroshi Hashizume,Hiromasa Tanaka,Kenji Ishikawa,Takayuki Ohta,Masafumi Ito,Keigo Takeda,Hiroki Kondo,Makoto Sekine,Masaru Hori
    • 学会等名
      2012年秋季 第73回応用物理学会学術講演会
    • 発表場所
      愛媛県, Japan
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] カーボンナノウォールの結晶構造に対するラジカル酸化効果2012

    • 著者名/発表者名
      下枝弘尚, 近藤博基, 石川健治, 平松美根男, 関根誠, 堀勝
    • 学会等名
      平成24年秋季第73回応用物理学会学術講演会
    • 発表場所
      愛媛大学城北地区・松山大学文京キャンパス
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Real-Time Electron Spin Resonance Study on Fungal Spores during Inactivation2012

    • 著者名/発表者名
      Kenji Ishikawa et al.
    • 学会等名
      APCPST 25th SPSM, 3P-04
    • 発表場所
      Kyoto Japan
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Electron Spin Resonance (ESR) study of Radicals on Biological Organism Created by Interaction with Plasma2012

    • 著者名/発表者名
      Kenji Ishikawa et al.
    • 学会等名
      International Conference on Plasma Science (ICOPS 2012), 2P-187.
    • 発表場所
      Edinburgh, UK
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Plasma-Biological Surface Interaction for Food Hygiene: Real-time in situ electron spin resonance measurements2012

    • 著者名/発表者名
      Kenji Ishikawa, H. Mizuno, H. Tanaka, H. Hashizume, T. Ohta, M. Ito, K. Takeda, H. Kondo, M. Sekine, and M. Hori
    • 学会等名
      The 34th International Symposium on Dry Process (DPS), B-3.
    • 発表場所
      Tokyo, Japan
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Real-time / In-situ Electron Spin Resonance Analysis of Surface Reactions on Organic / Biological Materials Interacted with Plasmas2012

    • 著者名/発表者名
      Kenji Ishikawa et al.
    • 学会等名
      International Univon of Materials Research Societies (IUMRS), International Conference on Electronic Materials(ICEM), C-8-I26-010
    • 発表場所
      Yokohama, Japan
    • 招待講演
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Electron Spin Resonance (ESR) Observation of Radicals on Biological Organism Interacted with Plasmas2012

    • 著者名/発表者名
      Kenji Ishikawa et al.
    • 学会等名
      2012 Spring Meeting of the Material Research Society, WW2.6
    • 発表場所
      San Francisco, USA
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Real time In Situ Electron Spin Resonance (ESR) Study of Free Radicals on Materials Created by Plasmas2012

    • 著者名/発表者名
      Kenji Ishikawa et al.
    • 学会等名
      American Vacuum Society (AVS), PS2-TuA7
    • 発表場所
      Florida, USA
    • 招待講演
    • データソース
      KAKENHI-PROJECT-24654191
  • [学会発表] Edge and Surface Modification of Nanographenes in Carbon Nanowalls by Hydrogen Peroxide Treatments2012

    • 著者名/発表者名
      H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, M. Hori
    • 学会等名
      The 4th International Conference on Microelectronics and Plasma Technology
    • 発表場所
      Ramada Plaza Jeju Hotel, Jeju, Korea
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Effects of Hydroxyl Radical on Nanographene Surfaces in Carbon Nanowalls2012

    • 著者名/発表者名
      H. Shimoeda, H. Kondo, K. Ishikawa, M. Hiramatsu, M. Sekine, and M. Hori
    • 学会等名
      The 11th APCPST and 25th SPSM
    • 発表場所
      Kyoto University ROHM Plaza, Kyoto, Japan
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Real-Time Electron Spin Resonance Study on Fungal Spores during Inactivation2012

    • 著者名/発表者名
      Kenji Ishikawa
    • 学会等名
      The 11th APCPST and 25th SPSM
    • 発表場所
      京都大学ローム記念館, 京都市
    • 年月日
      2012-10-02
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマプロセス中における有機薄膜表面反応の実時間・その場観察電子スピン共鳴(ESR)解析(5)

    • 著者名/発表者名
      王 浩然、石川健治、堀邉英夫、竹田圭吾、近藤博基、関根 誠、堀 勝
    • 学会等名
      平成25年秋季第74回応用物理学会学術講演会
    • 発表場所
      同志社大学京田辺キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Signaling circuits that are affected by plasma-activated medium in brain tumor cells.

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori
    • 学会等名
      5th International Conference on Plasma Medicine
    • 発表場所
      Nara, Japan
    • 年月日
      2014-05-18 – 2014-05-23
    • データソース
      KAKENHI-PROJECT-25870307
  • [学会発表] Interactions between Plasma and Biological Material: Analysis by Electron spin resonance (ESR) technique

    • 著者名/発表者名
      Ishikawa Kenji, Hiromasa Tanaka, Keigo Takeda, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      Plasma Processing Science (Gordon Research Conference)
    • 発表場所
      Smithfield, RI, USA
    • 年月日
      2014-07-27 – 2014-08-01
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effect of gas flow on spatial distribution of O (3Pj) atoms in ac power excited on-equilibrium atmospheric pressure O2/Ar plasma jet

    • 著者名/発表者名
      K. Takeda, F. Jia, K. Ishikawa, H. Kano, M. Sekine, M. Hori
    • 学会等名
      8th International Conference on Reactive Plasmas
    • 発表場所
      Fukuoka Convention Center, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Controlled synthesis and electrocatalytic characteristics of Pt nanoparticles-supported nanographene synthesized by in-liquid plasma

    • 著者名/発表者名
      Hiroki Kondo, Tomoki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Mineo Hiramatsu
    • 学会等名
      67th Annual Gaseous Electronics Conference
    • 発表場所
      Raleigh, North Carolina
    • 年月日
      2014-11-02 – 2014-11-07
    • データソース
      KAKENHI-PROJECT-25600122
  • [学会発表] Control of cellular activities by oxygen radical treatment

    • 著者名/発表者名
      T. Ohta, H. Hashizume, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • 学会等名
      International Workshop on 8th ICRP/31th SPP
    • 発表場所
      Fukuoka Convention Center, Japan
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of AC excited Atmospheric Pressure Plasma Jet with He for Biomedical Applications

    • 著者名/発表者名
      M. Hori, K. Takeda, T. Kumakura, K. Ishikawa, H. Tanaka, H. Kondo, M. Sekine, Y. Nakai
    • 学会等名
      67th Gaseous Electronics Conference
    • 発表場所
      Raleigh, North Carolina, USA
    • 年月日
      2014-11-02 – 2014-11-07
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ-表面相互作用の実時間その場電子スピン共鳴分光研究

    • 著者名/発表者名
      石川健治、鷲見直也、河野昭彦、堀邊英夫、竹田圭吾、近藤博基、関根 誠、堀 勝
    • 学会等名
      平成25年秋季第74回応用物理学会学術講演会
    • 発表場所
      同志社大学京田辺キャンパス
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-Biological Surface Interaction for Food Hygiene

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Keigo Takeda, Hiromasa Tanaka, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      E-MRS and MRS-J Joint Symposium
    • 発表場所
      Yokohama, Japan
    • 年月日
      2014-12-10 – 2014-12-12
    • 招待講演
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Synthesis and electrocatalytic properties of Pt nanoparticles-supported nanographene synthesized employing in-liquid plasma

    • 著者名/発表者名
      Hiroki Kondo, Tomoaki Amano, Kenji Ishikawa, Makoto Sekine, Masaru Hori, Masafumi Ito, and Mineo Hiramatsu
    • 学会等名
      International Conference on Microelectronics and Plasma Technology 2014 (ICMAP 2014)
    • 発表場所
      Gunsan
    • 年月日
      2014-07-08 – 2014-07-11
    • データソース
      KAKENHI-PROJECT-25600122
  • [学会発表] Electrochemical Characteristics and Durability of Pt Nanoparticles Supported Carbon Nanowalls

    • 著者名/発表者名
      Shun Imai, Hiroki Kondo, Hiroyuki Kano, Kenji Ishikawa, Makoto Sekine, Mineo Hiramatsu, Masaru Hori
    • 学会等名
      7th International Symposium on Advanced Plasma Science and Its Applications for Nitrides and Nanomaterials / 8th International Conference on Plasma Nanotechnology and Science
    • 発表場所
      Nagoya University
    • 年月日
      2015-03-26 – 2015-03-31
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Effects of long-lived reactive species in the Plasma-Activated-Medium

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumitaka Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 2nd International Workshop on Plasma for Cancer Treatment
    • 発表場所
      Nagoya
    • 年月日
      2015-03-16 – 2015-03-17
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of activated species generated by AC excited non-equilibrium atmospheric pressure Ar plasma jet for plasma medical and bio applications

    • 著者名/発表者名
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kano, M. Sekine, M. Hori
    • 学会等名
      International Workshop on 8th ICRP/31th SPP
    • 発表場所
      Fukuoka Convention Center, Japan
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Behaviors of Activated Species in SiH4/H2 Plasma for μc-Si:H Thin Film Deposition

    • 著者名/発表者名
      K. Takeda, Y. Abe, K. Ishikawa, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • 発表場所
      Fukuoka Convention Center & Nishijin Plaza, Japan
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron spin resonance analysis of plasma-biological material interactions in atmospheric pressure plasma

    • 著者名/発表者名
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      International Workshop on 8th ICRP/31th SPP
    • 発表場所
      Fukuoka Convention Center, Japan
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Modifications of Photoresists Surface on Photon Irradiations in HBr Plasmas

    • 著者名/発表者名
      Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Makoto Sekine, Masaru Hori
    • 学会等名
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • 発表場所
      Gunsan, South Korea
    • 年月日
      2015-07-01 – 2015-07-02
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] Non-equilibrium atomospheric pressure plasma modulates transformation-mediated gene expression levels in melanocytic tumors in vivo

    • 著者名/発表者名
      Machiko IIDA, Ichiro YAJIMA, Yasuhiro OMATA, Xiang LI, Cunchao ZOU, Chihiro NAKANO, Kenji ISHIKAWA, Masaru HORI, Masashi KATO
    • 学会等名
      The 2nd International Workshop on Plasma for Cancer Treatment
    • 発表場所
      Nagoya
    • 年月日
      2015-03-16 – 2015-03-17
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] AC励起非平衡大気圧プラズマの放電機構の解明に向けた時空間計測

    • 著者名/発表者名
      梁 思潔、孫 昿達、竹田圭吾、近藤博基、加納浩之、石川健治、関根 誠、堀 勝
    • 学会等名
      平成25年秋季第74回応用物理学会学術講演会
    • 発表場所
      同志社大学京田辺キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] 大気圧誘電体バリア放電プラズマジェットにおける反応性粒子生成挙動 - 放電周波数に対す効果

    • 著者名/発表者名
      内田儀一郎、竹中弘祐、宮崎敦史、川端一史、節原裕一、竹田圭吾、石川健治、堀 勝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Electron spin resonance(ESR) Study of edible meat irradiated atmospherics-pressure-plasmas

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      IUMRS-ICA Symposium D-2 Frontier of Nano-Materials Based on Advanced Plasma Technologies
    • 発表場所
      Fukuoka, Japan
    • 年月日
      2014-08-26 – 2014-08-31
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] 酸素ラジカル殺菌におけるミドリカビ胞子の細胞内構造の観察

    • 著者名/発表者名
      橋爪博司、岡 有也、唐渡卓也、太田貴之、竹田圭吾、石川健治、堀 勝、伊藤昌文
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] プラズマ照射した食肉血液成分の電子スピン共鳴解析

    • 著者名/発表者名
      坂倉崚亮、石川健治、田中宏昌、橋爪博司、太田貴之、伊藤昌文、竹田圭吾、近藤博基、関根 誠、堀 勝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Elucidation of plasma induced reaction mechanism for living cells using multiplex coherent anti-Stokes Raman scattering (CARS) microscopy

    • 著者名/発表者名
      Ryo Furuta, Takahiro Nomura, Hiroshi Hashizume, Keigo Takeda, Hiroki Kondo, Kenji Ishikawa, Takayuki Ohta, Masafumi Ito, Makoto Sekine, Masaru Hori
    • 学会等名
      The 2nd International Workshop on Plasma for Cancer Treatment
    • 発表場所
      Nagoya
    • 年月日
      2015-03-16 – 2015-03-17
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] AC励起非平衡大気圧Arプラズマジェットにおける活性種生成への大気巻き込みの影響

    • 著者名/発表者名
      竹田圭吾、石川健治、田中宏昌、加納浩之、関根 誠、堀 勝
    • 学会等名
      平成25年秋季第74回応用物理学会学術講演会
    • 発表場所
      同志社大学京田辺キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of intracellular signaling systems of glioblastoma brain tumor cells treated with plasma-activated medium.

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori
    • 学会等名
      International Workshop on Diagnostics and Modeling for Plasma Medicine
    • 発表場所
      Nara, Japan
    • 年月日
      2014-05-23 – 2014-05-24
    • データソース
      KAKENHI-PROJECT-25870307
  • [学会発表] Dynamics and Reactive Particle Generation in Atmospheric-Pressure Discharge as a Basis for Plasma Medicine

    • 著者名/発表者名
      Y. Setsuhara, G. Uchida, K. Kawabata, A. Miyazaki, K. Takenaka, K. Takeda, K. Ishikawa, M. Hori
    • 学会等名
      18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • 発表場所
      Fukuoka Convention Center & Nishijin Plaza, Japan
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Quantitative evaluation of the inactivation process of P. digitatumspores on the basis of dose of ground-state atomic oxygen

    • 著者名/発表者名
      Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • 学会等名
      International Workshop on Diagnostics and Modelling for Plasma Medicine (DMPM2014)
    • 発表場所
      Nara, Japan
    • 年月日
      2014-05-23 – 2014-05-24
    • 招待講演
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] CANCER THERAPY USING PLASMA-ACTIVATED MEDIUM AND THE INTRACELLULAR MOLECULAR MECHANISMS

    • 著者名/発表者名
      Hiromasa Tanaka, Masaaki Mizuno, Kenji Ishikawa, Keigo Takeda, Hiroshi Hashizume, Kae Nakamura, Fumi Utsumi, Hiroaki Kajiyama, Hiroyuki Kano, Yasumasa Okazaki, Shinya Toyokuni, Shoichi Maruyama,Tetsuo Adachi, Hiroki Kaneko, Hiroko Terasaki, Yasuhiro Kodera, Fumitaka Kikkawa, Masaru Hori
    • 学会等名
      The 2nd International Workshop on Plasma for Cancer Treatment
    • 発表場所
      Nagoya, Japan
    • 年月日
      2015-03-17 – 2015-03-18
    • データソース
      KAKENHI-PROJECT-25870307
  • [学会発表] Analyses of intracellular molecular mechanisms of cancer cell death in plasma-activated solutions.

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori
    • 学会等名
      2014 Material Research Society Fall Meeting & Exhibit
    • 発表場所
      Boston, USA
    • 年月日
      2014-11-30 – 2014-12-05
    • データソース
      KAKENHI-PROJECT-25870307
  • [学会発表] 実践的プラズマ計測手法

    • 著者名/発表者名
      石川健治、竹田圭吾
    • 学会等名
      第7回名古屋大学プラズマナノ工学スクール
    • 発表場所
      名古屋大学
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Spectroscopic diagnostics of AC excited plasma in-liquid alcohol for nanographene synthesis

    • 著者名/発表者名
      A. Ando, K. Takeda, H. Kondo, K. Ishikawa, M. Sekine, T. Ohta, M. Ito, M. Hiramatsu, H. Kano, M. Hori
    • 学会等名
      The 20th Workshop on Advanced Plasma Processes and Diagnostics & The 7th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • 発表場所
      Hokkaido University
    • 年月日
      2015-01-27 – 2015-01-29
    • データソース
      KAKENHI-PROJECT-25600122
  • [学会発表] Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)
    • 発表場所
      Greifswald, Germany
    • 年月日
      2014-07-15 – 2014-07-19
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] AC 励起大気圧非平衡 Ar プラズマ生成における 大気ガス巻込みの影響

    • 著者名/発表者名
      梁 思潔、竹田圭吾、近藤博基、加納浩之、石川健治、関根 誠、堀 勝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Plasma-Biological Material Interactions Studied by Employing Electron Spin Resonance (ESR) Technique

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The XXII Europhysics Conference on Atomic and Molecular Physics of Ionized Gases (ESCAMPIG)
    • 発表場所
      Greifswald, Germany
    • 年月日
      2014-07-15 – 2014-07-19
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Vacuum ultraviolet spectroscopic analysis of AC excited non-equilibrium atmospheric pressure Ar plasma jet

    • 著者名/発表者名
      K. Takeda, K. Ishikawa, H. Tanaka, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      67th Gaseous Electronics Conference
    • 発表場所
      Raleigh, North Carolina, USA
    • 年月日
      2014-11-02 – 2014-11-07
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Diagnostics of Non-equilibrium Atmospheric Pressure Plasma for Agricultural Application

    • 著者名/発表者名
      Takumi Kumakura, Keigo Takeda, Kenji Ishikawa, Hiromasa Tanaka, Hiroki Kondo, Hiroyuki Kano, Yoshihiro Nakai, Makoto Sekine, Masaru Hori
    • 学会等名
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • 発表場所
      Gunsan, Korea
    • 年月日
      2014-07-06 – 2014-07-07
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Intracellular Molecular Mechanisms of Apoptosis in Cancer Cells by Plasma-Activated Medium

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, Y. Okazaki1, S. Toyokuni, S. Maruyama, F. Kikkawa, M. Hori
    • 学会等名
      The International Workshop on Plasmas for Cancer Treatment
    • 発表場所
      Washington DC, USA
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Inactivation process of P. digitatum spores evaluated by dose of ground-state atomic oxygen

    • 著者名/発表者名
      Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara, Japan
    • 年月日
      2014-05-17 – 2014-05-23
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Plasma Induced Surface Roughness of Polymeric Materials

    • 著者名/発表者名
      K. Ishikawa, T. Takeuchi, Y. Zhang, Y. Setsuhara, K. Takeda, H. Kondo, M. Sekine, M. Hori
    • 学会等名
      18th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics
    • 発表場所
      Fukuoka Convention Center & Nishijin Plaza, Japan
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Analysis of fungal spore inactivation based on measurements of reactive-oxygen-species densities

    • 著者名/発表者名
      H. Hashizume, T. Ohta, F. Jia, K. Takeda, K. Ishikawa, M. Hori, M. Ito
    • 学会等名
      17th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 4th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • 発表場所
      Sungkyunkwan University, Korea
    • 招待講演
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Multiplex coherent anti-Stokes Raman scattering microspectroscopy for monitoring molecular structural change in biological samples

    • 著者名/発表者名
      T. Ohta, H. Hashizume, K. Takeda, K. Ishikawa, M. Ito, M. Hori
    • 学会等名
      67th Gaseous Electronics Conference
    • 発表場所
      Raleigh, North Carolina, USA
    • 年月日
      2014-11-02 – 2014-11-07
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] アルゴン/ フッ素ガスを用いたグラフェンエッジ終端のカーボンナノウォールの化学構造および電気的特性に対する効果

    • 著者名/発表者名
      趙亨峻、田嶋聡美、近藤博基、石川健治、関根誠、平松美根男、堀勝
    • 学会等名
      第75回応用物理学会秋季学術講演会
    • 発表場所
      北海道大学札幌キャンパス
    • 年月日
      2014-09-17 – 2014-09-20
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Chemical analysis of plasma-activated-medium for understanding mechanism of its antitumor effect

    • 著者名/発表者名
      Naoyuki Kurake, Hiromasa Tanaka, Kenji Ishikawa, Kae Nakamura, Hiroaki Kajiyama, Fumiaki Kikkawa, Takashi Kondo, Masaaki Mizuno, Keigo Takeda, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      19th Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & 6th Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials
    • 発表場所
      Gunsan, Korea
    • 年月日
      2014-07-06 – 2014-07-07
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Effect of Carrier Gas on Graphene Synthesized from in-Liquid Plasma

    • 著者名/発表者名
      Jerome JOLIBOIS, Jagath KULARATNE, Kenji ISHIKAWA, Mineo HIRAMATSU, Makoto SEKINE, Masaru HORI
    • 学会等名
      International Union of Materials Research Societies - The 15th International Conference in Asia (IUMRS-ICA2014)
    • 発表場所
      Fukuoka Univerrsity, Fukuoka
    • 年月日
      2014-08-24 – 2014-08-30
    • データソース
      KAKENHI-PROJECT-25600122
  • [学会発表] Roughness formation on photoresist during etching examined by HBr plasma-beam

    • 著者名/発表者名
      Makoto Sekine, Yan Zhang, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, and Masaru Hori
    • 学会等名
      Gaseous Electronics Conference (GEC)
    • 発表場所
      Raleigh NC, USA
    • 年月日
      2015-11-03 – 2015-11-07
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] 大気圧プラズマ照射培養液の電子スピン共鳴(ESR)による解析

    • 著者名/発表者名
      倉家尚之、田中宏昌、石川健治、中村香江、梶山広明、吉川史隆、近藤 隆、水野正明、竹田圭吾、近藤博基、関根 誠、堀 勝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] がん治療に向けたプラズマ装置及びプラズマ照射溶液の研究開発

    • 著者名/発表者名
      田中宏昌、水野正明、石川健治、竹田圭吾、中村香江、梶山広明、加納浩之、吉川史隆、堀 勝
    • 学会等名
      テクノ・フェア名大2013
    • 発表場所
      名古屋大学
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Microscopic observation of inactivation process of fungal spores treated with oxygen radicals produced from an atmospheric-pressure plasma

    • 著者名/発表者名
      Hiroshi Hashizume, Takuya Towatari, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • 学会等名
      The 17th Biennial Meeting for the Society for Free Radical Research International (SFRRI 2014)
    • 発表場所
      Kyoto, Japan
    • 年月日
      2014-05-24 – 2014-05-25
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Electron Spin Resonance Study of Plasma-Biological Surface Interactions under Atmospheric Pressure Plasmas

    • 著者名/発表者名
      Kenji Ishikawa, Hiroshi Hashizume, Takayuki Ohta, Masafumi Ito, Hiromasa Tanaka, Keigo Takeda, Satomi Tajima, Hiroki Kondo, Makoto Sekine, and Masaru Hori
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara, Japan
    • 年月日
      2014-05-17 – 2014-05-23
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] 医療・バイオ用AC励起大気圧非平衡プラズマジェットの気相診断

    • 著者名/発表者名
      熊倉 匠、竹田圭吾、石川健治、田中宏昌、近藤博基、加納浩之、中井義浩、関根 誠,、堀 勝
    • 学会等名
      第61回応用物理学会春季学術講演会
    • 発表場所
      青山学院大学相模原キャンパス
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Anisotropic etching and structural modification of carbon nanowalls by oxygen-related radicals

    • 著者名/発表者名
      HIROKI KONDO, Hironao Shimoeda, Kenji Ishikawa, Mineo Hiramatsu, Makoto Sekine, Masaru Hori
    • 学会等名
      14th International Conference on Plasma Surface Engineering (PSE2014)
    • 発表場所
      Garmisch-Partenkirchen, Germany
    • 年月日
      2014-09-15 – 2014-09-19
    • データソース
      KAKENHI-PROJECT-24360015
  • [学会発表] Inactivation of microorganism in liquid treated with neutral reactive oxygen species

    • 著者名/発表者名
      Takuya Towatari, Hiroshi Hashizume, Takayuki Ohta, Keigo Takeda, Kenji Ishikawa, Masaru Hori, and Masafumi Ito
    • 学会等名
      The 5th International Conference on Plasma Medicine (ICPM5)
    • 発表場所
      Nara, Japan
    • 年月日
      2014-05-17 – 2014-05-23
    • データソース
      KAKENHI-PROJECT-26286071
  • [学会発表] Plasma Induced Roughness Formation on Photoresist Examined by HBr Plasma-Beam Etching

    • 著者名/発表者名
      Yan Zhang, Makoto Sekine, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Masaru Hori
    • 学会等名
      61st American Vacuum Symposium
    • 発表場所
      Baltimore, MD USA
    • 年月日
      2015-11-10 – 2015-11-15
    • データソース
      KAKENHI-PROJECT-25286080
  • [学会発表] Chemical analyses of edible meat irradiated atmospherics-pressure-plasmas

    • 著者名/発表者名
      K. Ishikawa, H. Hashizume, T. Ohta, M. Ito, H. Tanaka, K. Takeda, S. Tajima, H. Kondo, M. Sekine, and M. Hori
    • 学会等名
      8th International Conference on Reactive Plasmas
    • 発表場所
      Fukuoka Convention Center, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Fluorescent and structural observations of P. digitatum spores inactivated with oxygen radical treatment

    • 著者名/発表者名
      H. Hashizume, T. Ohta, K. Takeda, K. Ishikawa, M. Hori, and M. Ito
    • 学会等名
      8th International Conference on Reactive Plasmas
    • 発表場所
      Fukuoka Convention Center, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • [学会発表] Survival and proliferation signaling pathways are downregulated by plasma-activated medium in glioblastoma brain tumor cells

    • 著者名/発表者名
      H. Tanaka, M. Mizuno, K. Ishikawa, K. Takeda, K. Nakamura, F. Utsumi, H. Kajiyama, H. Kano, S. Maruyama, F. Kikkawa, M. Hori
    • 学会等名
      8th International Conference on Reactive Plasmas
    • 発表場所
      Fukuoka Convention Center, Japan
    • データソース
      KAKENHI-PLANNED-24108002
  • 1.  田中 宏昌 (00508129)
    共同の研究課題数: 8件
    共同の研究成果数: 211件
  • 2.  近藤 博基 (50345930)
    共同の研究課題数: 5件
    共同の研究成果数: 163件
  • 3.  竹田 圭吾 (00377863)
    共同の研究課題数: 5件
    共同の研究成果数: 198件
  • 4.  堀 勝 (80242824)
    共同の研究課題数: 3件
    共同の研究成果数: 269件
  • 5.  中村 香江 (10744047)
    共同の研究課題数: 3件
    共同の研究成果数: 23件
  • 6.  関根 誠 (80437087)
    共同の研究課題数: 3件
    共同の研究成果数: 185件
  • 7.  平松 美根男 (50199098)
    共同の研究課題数: 2件
    共同の研究成果数: 17件
  • 8.  伊藤 昌文 (10232472)
    共同の研究課題数: 2件
    共同の研究成果数: 7件
  • 9.  太田 貴之 (10379612)
    共同の研究課題数: 2件
    共同の研究成果数: 70件
  • 10.  加藤 昌志 (10281073)
    共同の研究課題数: 2件
    共同の研究成果数: 9件
  • 11.  矢嶋 伊知朗 (80469022)
    共同の研究課題数: 2件
    共同の研究成果数: 3件
  • 12.  古閑 一憲 (90315127)
    共同の研究課題数: 2件
    共同の研究成果数: 4件
  • 13.  加納 浩之
    共同の研究課題数: 2件
    共同の研究成果数: 9件
  • 14.  林 俊雄 (30519591)
    共同の研究課題数: 1件
    共同の研究成果数: 4件
  • 15.  飯田 真智子 (60465515)
    共同の研究課題数: 1件
    共同の研究成果数: 3件
  • 16.  小又 尉広 (20644371)
    共同の研究課題数: 1件
    共同の研究成果数: 2件
  • 17.  白谷 正治 (90206293)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 18.  鈴置 保雄 (10115587)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 19.  秋山 真一 (20500010)
    共同の研究課題数: 1件
    共同の研究成果数: 1件
  • 20.  大神 信孝 (80424919)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 21.  小林 達哉 (30733703)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 22.  布村 正太 (50415725)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 23.  鎌滝 晋礼 (60582658)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 24.  富田 健太郎 (70452729)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 25.  堤 隆嘉 (50756137)
    共同の研究課題数: 1件
    共同の研究成果数: 49件
  • 26.  金子 俊郎 (30312599)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 27.  小野 亮 (90323443)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 28.  杤久保 文嘉 (90244417)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 29.  伊藤 篤史 (10581051)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 30.  國枝 正 (90566077)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 31.  魚住 信之 (40223515)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 32.  石橋 勇志 (50611571)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 33.  江原 宏 (10232969)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 34.  新田 洋司 (60228252)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 35.  村上 朝之 (20323818)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 36.  谷口 和成 (90319377)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 37.  栂根 一夫 (50343744)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 38.  原 宏和 (30305495)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 39.  近藤 伸一 (90240944)
    共同の研究課題数: 1件
    共同の研究成果数: 0件
  • 40.  橋爪 博司
    共同の研究課題数: 1件
    共同の研究成果数: 98件
  • 41.  湯川 博
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 42.  池田 純一郎
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 43.  吉川 史隆
    共同の研究課題数: 0件
    共同の研究成果数: 9件
  • 44.  水野 彰
    共同の研究課題数: 0件
    共同の研究成果数: 5件
  • 45.  田中 昭代
    共同の研究課題数: 0件
    共同の研究成果数: 14件
  • 46.  梶山 広明
    共同の研究課題数: 0件
    共同の研究成果数: 4件
  • 47.  豊國 伸哉
    共同の研究課題数: 0件
    共同の研究成果数: 5件
  • 48.  Attri Pankaj
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 49.  池原 譲
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 50.  近藤 隆
    共同の研究課題数: 0件
    共同の研究成果数: 4件
  • 51.  節原 裕一
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 52.  定塚 勝樹
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 53.  松本 省吾
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 54.  寺嶋 和夫
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 55.  志水 元亨
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 56.  馬場 嘉信
    共同の研究課題数: 0件
    共同の研究成果数: 1件
  • 57.  大和 正典
    共同の研究課題数: 0件
    共同の研究成果数: 1件

URL: 

この研究者とORCID iDの連携を行いますか?
※ この処理は、研究者本人だけが実行できます。

Are you sure that you want to link your ORCID iD to your KAKEN Researcher profile?
* This action can be performed only by the researcher himself/herself who is listed on the KAKEN Researcher’s page. Are you sure that this KAKEN Researcher’s page is your page?

サービス概要 検索マニュアル よくある質問 お知らせ 利用規程 科研費による研究の帰属

Powered by NII kakenhi